• 통큰쿠폰이벤트-통합
  • 통합검색(11,341)
  • 리포트(9,942)
  • 시험자료(535)
  • 방송통신대(391)
  • 자기소개서(258)
  • 서식(141)
  • 논문(42)
  • ppt테마(21)
  • 이력서(6)
  • 노하우(5)

"2D코드" 검색결과 1-20 / 11,341건

  • openGL을 이용한 2D Sierpinski Gasket 그리기(소스코드 주석포함, 보고서 포함)
    과제를 해결하는 것도 중요하지만 개인적인 학습을 위해 책을 정독하고 책을 보고 코드를 작성해본 후에 다시 코드를 지우고 혼자서 작성하였다. ... (x1, y1), (x2, y2), (x3, y3)2. 3개의 정점들이 일직선으로 나열되지 않는 한, 이들은 삼각형의 정점으로 정의된 유일한 평면을 정의한다.2) 알고리즘1. ... 삼각형 내부에서 임의의 시작점(x, y)를 잡는다.2. 3개의 정점 중 임의로 하나를 선택한다.3. 시작점과 임의로 선택된 정점의 중간점을 찾는다.4.
    리포트 | 3페이지 | 1,000원 | 등록일 2012.07.02
  • (A+/이론/예상결과/고찰) 아주대 논리회로실험 예비보고서5
    code는 변환이 이루어진 8421(BCD) code에 3(0011)을 더해서 나타낸 코드이다. ... code- 8421(BCD) code는 10진수를 4bit 2진수로 나타낸 code이다. ... D2'D3B = D0'D1D2'D3' + D0'D1'D2'D3논리 회로도(3) 8421(BCD, Binary Coded Decimal, 2진화 10진수) code 및 Excess-3
    리포트 | 10페이지 | 1,000원 | 등록일 2021.10.24
  • [세종대학교] [ 전자정보통신공학과] [전정통] [정보이론] 과제05(크레프트 부등식)
    depth가 2인 트리가 그려질 것이고 a, b, c, d들은 leaft 노드 부분이라는 것을 쉽게 알 수 있다.kraft inequality(크래프트 부등식) : prefix code를 ... kraft inequality를 설명하기 앞서 prefix code를 먼저 이해하자.Prefix code : 특정 비트표현이 다른 비트표현과 겹쳐지지 않는 코드예를 들어 a : 110 ... code라고 합니다.
    리포트 | 1페이지 | 2,500원 | 등록일 2022.11.18
  • 논리회로설계실험 5주차 Encoder 설계
    또한 testbench code를 직접 짜면서 4:2 Priority encoder를 테스트하는 코드를 짜보았는데, input과 output부분, module instantiation ... 나누어 그리고 각각 Boolean expression을 구하였다.Out1 = c + d Out0 = d + bc’3) Verilog Implementations(코드 실행)4:2 ... c)으로 표현하여 코드에 구현하였다.3.3) Gate-level modelingOutput의 Boolean expression은 Out1 = c + d, Out0 = d + bc’
    리포트 | 6페이지 | 3,000원 | 등록일 2023.09.11
  • C언어정리 여덟번째(문자열)
    ("code2=%c, code2=%d\n", code2, code2); //code2을 %c로 출력하면 A로 나오고 %d로출력하면 65나온다.return 0;}→code1=A, code1 ... =65code2=A, code2=65♣아스키코드 숫자 출력시키기#include int main(void){unsigned char code;for (code = 48;code < 58 ... //문자 상수는 홑 따옴표''로 표현 문자열은 겉따옴 표""로 표현한다.int code2 = 65; //A라는 문자를 숫자를 표시printf("code1=%c, code1=%d\n
    리포트 | 21페이지 | 1,000원 | 등록일 2021.01.04
  • 명지대학교 산업경영공학과 스마트 생산과 자동화 7주차 강의내용
    ④ F code : 이송속도(mm/min) 혹은 이송율(mm/rev)⑤ S code : 주축속도(rpm)⑥ T code : 공구선택 (공구의 ID 호출)⑦ M code : 보조 명령M02 ... 의 기능]① N code : 작업 단계 번호② G code : 준비 명령 (어떻게 이동?) ... 프로그램 제작방법(모든 방법은 NC코드를 사용한다.)① 수동(manual) 파트프로그래밍사람이 도면을 보고 수동으로 프로그램을 작성한 뒤 cnc공작기계로 전송한다.오류가 빈번하게 발생하고
    리포트 | 4페이지 | 2,000원 | 등록일 2023.10.10
  • 정보통신기초 설계
    [그림 2.2] module code[그림 2.3] Test bench code[그림 2.2], [그림 2.3]은 주어진 D-FF을 Verilog 코드로 나타낸 것이다. ... [그림 1.2] [그림 1.1]의 Verilog code (module part)[그림 1.3] [그림 1.1]의 Verilog code(testbench part)[그림 1.2]는 ... 먼저 [그림 2.2]는 module코드로 이 코드에서 always @ (posedge clk)는 클럭이 positive edge일 때 즉 클럭에 전원이 들어올 때 항상 begin ~
    리포트 | 6페이지 | 1,000원 | 등록일 2022.03.21
  • Verilog 언어를 이용한 Sequential Logic 설계 결과레포트
    실험 결과 module code testbench code simulation waveform3. ... SR Latch와 D Flip-Flop의 모듈 코드를 참고해 정해진 파형의 입력을 T Flip-Flop에 입력하였을 때 출력 Q가 작동하는 모습을 확인하는 과정으로 진행하였다. ... 실험 제목1) Verilog 언어를 이용한 Sequential Logic 설계2.
    리포트 | 3페이지 | 1,000원 | 등록일 2022.11.06
  • 인하대_컴퓨터네트워크_과제
    Hamming code정의해밍코드는 데이터비트에 몇 개의 체크비트가 추가된 코드이다. ... XOR 한 이후 다시 n값을 Dividend에서 젤 먼저 1이 들어있는 위치로 바꿔준다.데이터 1 1 0 0 1 0 을 샘플로 계산한 결과 나머지는 1 0 0, 전송되는 CRC code ... 이므로 1 2 4 8 이다.D12D11D10D9P8D7D6D5P4D3P2P1121110987654321나머지 위치에는 원래 데이터 비트를 넣어주면 해밍 코드가 완성된다.체크 비트 생성
    리포트 | 7페이지 | 2,000원 | 등록일 2023.10.03
  • 마켓 온라인 구매 결제 프로그램 작성 레포트
    = 0;double coupon_dis_rate = 0;char code;// 2. ... \n회원번호 (두 자릿수) : ");scanf("%d", &number);printf("회원등급코드 (문자 1개) : ");scanf(" %c", &code);printf("보유포인트 ... \n");}}else {coupon_discount = 0;// 회원등급코드 잘못 입력할 경우 쿠폰할인 적용 아예 안되게 만들기coupon = (payment == 2)// 체크카드payment_discount
    리포트 | 13페이지 | 2,000원 | 등록일 2021.12.01
  • 시립대 전자전기컴퓨터공학부 마이크로프로세서 과목 최종 과제입니다.
    rom32.v(own machine instruction의 코드는“피보나치수열의 제11항을 구하는 프로그램”을 작성)(3-1) Verilog source code▪rom32_2.v ... -코드 구성에 사용한 명령어는 lw, add, slt, beq, sw인데 simulation 결과를 보면 각각의 명령에 맞는 op code를 확인할 수 있다. ... : machine instructions and assembly code as comments▪Explain your code in the report5'd0 : data_out =
    리포트 | 10페이지 | 1,000원 | 등록일 2021.04.12 | 수정일 2021.04.16
  • 컴퓨터의 이해 중간과제
    머스트에어서는 웹 시스템안의 code39 바코드를 QR코드로 전환시키는 것을 생각했습니다. QR코드는 Denso Wave, Inc. ... HYPERLINK "https://qr.naver.com/code/createForm.naver" https://qr.naver.com/code/createForm.naver위 링크 ... 메타버스는 4가지 개념으로 나눌 수 있다.③é 증강현실(Augmented Reality)은 현실공간에 2D 또는 3D로 표현한 가상의 겹쳐 보이는 물체동산(Property)과 디지털
    방송통신대 | 7페이지 | 5,000원 | 등록일 2022.03.30
  • C프로그래밍 시험 족보
    = 65; //위 아래의 코드는 동일하게 문자 A를 나타냄code2 = ‘A’;printf(“%c”,code1/n);printf(“%c”,code2/n);return 0;}4) 제어 ... (“%c”,beep);return 0;}#include int main(){char code = 65;printf(“%c %d %c”,code+1, code+2, code+3);return ... : 영어의 알파벳이 기초를 둔 문자 엔코딩 방법→0~127까지의 숫자 이용→128~255까지 확장 아스키코드#include int main(void){char code;code1
    시험자료 | 3페이지 | 3,500원 | 등록일 2021.02.19
  • 논리회로설계실험 9주차 counter설계
    code위의 wave는 강의자료에 제공되어 있는 D flip flop을 이용해서 structural modeling으로 Ripple counter를 구현하여 simulation을 ... 거쳤다.2) Theoretical Approach(이론)2.1)Ripple counter (D flip flop)위의 schematic은 D flip flop을 이용한 ripple ... 코드를 보면 첫번째 flip flop의 input D에 out[3]이 입력되고 다음 flip flop들의 input D로 각각 차례로 out[0], out[1], out[2]가 입력됨을
    리포트 | 6페이지 | 3,000원 | 등록일 2023.09.11
  • 삼성 전자(화상면접자료)
    = 2 : double error correcting (DEC) codes d = 7, 8  t = 3 : triple error correcting (TEC) codes Coding ... *Parameters of block codes (3)Ex) d = 3, 4  t = 1 : single error correcting (SEC) codes d = 5, 6  t ... parity check symbols (p1, p2, … , pn - k)*Parameters of block codes (1)*Parameters of block codes (2)
    자기소개서 | 13페이지 | 5,000원 | 등록일 2020.05.24 | 수정일 2020.11.24
  • 전기및디지털회로실험 실험7 예비보고서
    대표적인 것으로는 10진수를 2진수로 변환시키는 10진2진인코더, 10진수를 2진화10진코드(BCD code)로 변환시키는 10진-BCD인코더 등이 잘 알려져 있다.예를 들어 0∼9의 ... 언어의 경우이며, 어셈블러에서의 각 명령의 간략 기억 코드(mnemonic code)로 변환(convert)하는 역할을 하는 프로그램의 것을 디코더(decoder)라고 하는 경우가 ... 실험 순서(1) 예비보고서 1항에 해당하는 회로를 7400 NAND gate IC만을 사용하여 구현하고 테스트를 통해 그 입출력 사이의 진리표를 작성하라.입력예상신호실제신호A1A0D3D2D1D0D3D2D1D
    리포트 | 11페이지 | 1,000원 | 등록일 2023.06.30
  • [환경부] Receipt & Completion of Disposal of Waste Imported- Notification Form
    knowledge.Date:Name: Signature:(1), (2) See code on the next page210mm×297mm(일반용지 60g/㎡)(뒤쪽)List of ... Waste identification code(i) Basel Annex VIII (or IX if applicable): (iv) National code in country of ... export:(ii) OECD code(if different from Basel): (v) National code in country of import:(iii) EC list
    서식 | 2페이지 | 무료 | 등록일 2023.03.14
  • 인하대 fpga 2주차 full adder 보고서
    이제 이 코드가 정상 작동하는지 확인하기 위해 4bit adder의 test bench code를 작성할 것 이다. ... , a[2], b[2], c2);fulladder fa3(sum[3], c_out, a[3], b[3], c3);/*위의 코드들은 앞선 1bit adder코드를 instantiation해왔음을 ... gate단위의 코드and(c1,a,b); //로 작성하였다. xor, and, or 의 괄호안에서 (출력, 입력, 입력)순으로 해석.xor(sum,s1,c_in);and(s2,s1
    리포트 | 5페이지 | 3,000원 | 등록일 2020.07.07
  • 컴퓨터의이해 1. 아래의 문제에 대하여 주요 특징을 개조식으로
    QR코드를 만들어서 보고서에 첨부하라.QR 코드(영어: QR code, Quick Response code)는 컴퓨터에서 생성한 흑백의 격자무늬 패턴의 암호화 코드로 기억시키고자 ... QR 코드(영어: QR code, Quick Response code)는 주로 한국, 중국, 일본, 미국, 영국 등 지역에서 보편적으로 활용되고 있으며 기존 많이 사용되던 바코드를 ... 하는 매트릭스 방식의 2차원 코드를 말함.
    방송통신대 | 8페이지 | 5,000원 | 등록일 2021.03.19
  • huffman coding c언어로 제작
    %d)-----\n ", i, n-2);printf("%c:%d = %c:%d + %c:%d\n",r->symbol, r->frequency, p->symbol, p->frequency ... 0'; // 트리의 좌측print_tree(r->left, n, code);code[n] = '1'; // 트리의 우측print_tree(r->right, n, code);code[ ... void print_tree(node* r, int n, char* code) // 결과 허프만 알고리즘 트리 출력{if(r) {n++; // 트리의 길이를 표시code[n] = '
    리포트 | 6페이지 | 1,000원 | 등록일 2019.11.22 | 수정일 2020.07.10
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 14일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
9:17 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대