• 통큰쿠폰이벤트-통합
  • 통합검색(468)
  • 리포트(439)
  • 시험자료(21)
  • 자기소개서(4)
  • 방송통신대(4)

"2x1mux" 검색결과 1-20 / 468건

  • Verilog-2x1 Mux설계-정진균
    - 6bit Adder 설계2x1 Multiplexer2x1 Multiplexer >> 2x1 Mux_Source2x1 Multiplexer >> Test Bench Source2x1 ... Multiplexer >> compile2x1 Multiplexer >> Simulation ... Report< Verilog - 2x1 Multiplexer >과 목 : 디지털시스템설계교 수 : 정진균 교수님일 자 : 2011년 9월 28일학 번 : 200711061이 름 :김성현Verilog
    리포트 | 3페이지 | 1,500원 | 등록일 2012.03.28
  • [디지털 설계 언어] [쿼터스 / Verilog 설계] 2x4 Decoder / 4x1 MUX Behavioral Modeling / D flip-flop
    4x1 MUX Behavioral Modeling코드시뮬레이션 결과4x1 MUX(Multiplexer 또는 데이터 선택기)는 select 입력에 따라 in_0~in_3 중에 데이터를선택하는 ... 1. 2x4 Decoder① Dataflow Modeling코드컴파일 화면컴파일 후 Warning문장을 포함한 Message 화면총 4가지의 Warning문장이 나오는데 첫 번째는 ... 단순히 소프트웨어 가입을 권하는 것이고, 2번째는Critical Warning으로 7개 핀들의 위치가 정확하게 할당되지 않았다는 것인데 아마 아직 값을 정확히가지지 않기 때문으로 추측된다
    리포트 | 6페이지 | 1,000원 | 등록일 2014.08.11 | 수정일 2016.06.13
  • 시립대 전전설2 Velilog 결과리포트 5주차
    이번 설계에서는 3X8 디코더와 2:1MUX에 대해 알아보도록 한다.2. ... 그 외에 casex는 case 수식의 모든 x와 z값을 dc(don't care)로 다룬다.38Decoder는 4:1 Mux는 입력이 4개이고 출력이 하나인 회로가 되어야 하므로 Select의 ... 진리표는 위와 같이 나오고 이를 간단하게 정리하면SQ0A1B이와 같은 형태로 정리 할 수 있다.논리회로논리식Q = S’A + SB3) 4:1 MUX2:1 MUX와 동일한 기능을 하지만
    리포트 | 16페이지 | 1,000원 | 등록일 2021.04.16
  • [건국대학교 논리회로 A+][2024 Ver] 4주차
    논리회로 실습과제4주차목차MUX_2x1_4Bit_P 회로 생성 및 구현MUX_2x1_4Bit_B 회로 생성 및 구현Splitter_Test 회로 생성을 통한 핀 타입, 버스 타입 비교MUX ... MUX_2x1_4Bit_P 회로 생성 및 구현(그림 1)(그림 2)(그림 3)프로젝트 메뉴에서 add circuit을 선택하여 MUX_2x1_4Bit_P 라는 회로를 생성한 후, 캔버스에 ... 그 후 분할된 비트들은 기존의 출력핀을 모두 제거하고 해당하는 F0, F1, F2, F3에 각각 연결하였다.MUX_2x1_4Bit_B 회로는 단순히 MUX_2x1_4Bit_P 회로에서
    리포트 | 11페이지 | 5,000원 | 등록일 2024.08.14
  • [건국대학교 논리회로 A+][2024 Ver] 3주차
    논리회로 실습과제3주차목차MUX_2x1 이름의 회로 생성 및 구현MUX_4x1 이름의 회로 생성 및 구현MUX_2x1 부회로 외형 변경MUX_4x1 회로 외형 변경 & main함수 ... MUX_2x1 회로 생성 및 구현(그림 1)(그림 2)프로젝트 메뉴에서 add circuit을 선택하여 MUX_2x1이라는 부회로를 생성한 후, 2개의 AND게이트, 1개의 NOT게이트 ... 그림3에서는 회로 위에 4X1 Multiplexer using 2x1 MUX 라는 제목 텍스트를 생성하였다.3.
    리포트 | 8페이지 | 5,000원 | 등록일 2024.08.14
  • 디지털 회로 실험-MUX와 DMUX
    MUX와 DMUX1. 목적-MUX와 DMUX의 동작원리를 이해한다.-MUX와 DMUX의 특성을 확인한다.2. ... 실험순서실험순서1. 4x1 MUX회로의 선택입력(S, A, B)에 해당 신호(1 또는 0)을 넣었을 때 출력(F)에는 어떤 입력(I0~I3)이 전송되겠는지 예상해서 표를 작성하시오.실험순서2 ... 실험2는 앞에서 구성한, 4x1 MUX회로의 입력I0~I3, S를 회로도와 같이 연결하고 선택입력A, B에 모두 0을 넣었을 때 출력F는 1이 출력되고, 선택입력A는 0, B는 1을
    리포트 | 11페이지 | 2,000원 | 등록일 2022.09.10
  • Mux&Decoder2차레포트 디지털회로설계
    이론 설명제 2장 각각의 schematic디자인, VHDL디자인(1) 1비트 2x1 Mux Schematic , VHDL(2) 2비트 2x1 Mux Schematic , VHDL(3 ... 설계하고 DE2 보드로 작동하기제 3장 요약 및 결론레포터의 목적(1) 1비트 2x1 Mux Schematic , VHDL(2) 2비트 2x1 Mux Schematic , VHDL ... DE2작동 사진을 찍어놓고 백업을 안하여 사진이 없다.(2) 2비트 2x1 Mux Schematic , VHDL-Schmatic-VHDL시뮬레이션 결과A,B,Y를 그룹으로 지정 후
    리포트 | 15페이지 | 2,000원 | 등록일 2022.01.05
  • 한양대 MUX & DEMUX
    또한, 74LS157 소자와 7-segment를 사용해 4bit Multiplexer의 output을 확인해본다. 74LS155 소자를 이용해 1X4 DEMUX 와 1X8 DEMUX의 ... Chapter 2. 관련 이론먼저, 다중화기 : Multiplexer (MUX)란 다수의 정보 장치를 소수의 채널이나 선을 통해 전송하는 회로이다. ... Select 신호에 따라 Input 값 중 하나를 고르는 회로로, MUX의 크기는 입력선과 출력선의 개수에 따라 결정되며, 2^N개의 입력 중 하나를 선택해 그 값을 그대로 출력하는
    리포트 | 4페이지 | 2,000원 | 등록일 2023.03.21
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab05(예비) / 2021년도(대면) / A+
    S=0 이고 E=1 일 때는 B이며 나머지 경우에는 Z이다.- 2X1 multiplexer(MUX)의 형태이다.4. ... [실습 5] 2비트 2:1 MUX 회로를 case문을 사용하여 설계하시오.Source codeTestbenchf. ... 진리표로부터 논리회로를 Karnaugh Map을 이용한 최적화 방법으로 설계하시오.- ,(3) 교안의 2:1 Mux의 진리표로부터 논리회로를 Karnaugh Map을 이용한 최적화
    리포트 | 13페이지 | 2,000원 | 등록일 2022.07.16
  • 부산대 어드벤처디자인 결과보고서 8주차 A+보고서 1등보고서
    데이터 입력, 제어입력, 데이터 출력을 가진다.4x1 MUX를 예시로 보면 논리회로와 진리표는 다음과 같다. ... [그림 1] MUX 진리표[그림 2] MUX 논리회로Encoder란 2^n개의 입력과 n개의 출력 조합으로 구성되어 있는 논리회로이다. ... 예시로 2x4 디코더를 위한 논리 회로는 다음과 같이 작성할 수 있다.[그림 4] 2x4 Decoder 논리 회로3.
    리포트 | 5페이지 | 1,500원 | 등록일 2022.03.24
  • 충북대 디지털시스템설계 결과보고서4
    + iX00100s _{2} ```010i = i - 10X1010s _{4} ```100Output sumXX00X1datapathcontrol unit의 출력들을 제어 입력으로 ... = 0)s _{0} ```000s _{1} ```001s _{1} ```001s _{1} ```001s _{2} ```010s _{2} ```010s _{2} ```010s _{3} ... 디지털시스템설계 실습 결과보고서학번이름1. 실험 제목Dedicated Microprocessor2.
    리포트 | 4페이지 | 1,500원 | 등록일 2022.02.12 | 수정일 2022.02.14
  • [A+, 에리카] 2021-1학기 논리설계및실험 MUX, DEMUX 실험결과보고서
    Chapter 1. 실험 목적MUX, DEMUX를 이해하고 이를 회로로 설계할 수 있다.Chapter 2. ... . n=2인 경우, 입력 수는 1이며, 출력 수는   이므로 1x4 디멀티플렉서라고 한다. ... 만약 n=2인 경우, 입력 수는   이고 출력 수는 1이므로 4x1 멀티플렉서라고 한다.- 4x1 Multiplexer와 진리표ü De-Multiplexer(DEMUX)- 멀티플렉서의
    리포트 | 7페이지 | 2,500원 | 등록일 2023.02.28
  • 시립대 전전설2 A+ 5주차 예비레포트
    기호 사용가능casex문: x와 z를 don’t care로 취급하여 해당 비트를 비교에서 제외예시2) [실습 1~5]에 대한 코드 및 Simulation실습 1decoder2to4. ... 1 MUX예시) case문을 이용한 디코더예시) case문을 이용한 x와 z의 처리don’t care(z)를 갖는 case문casez문: z를 don’t care로 취급하여 해당 비트를 ... 2, 1 ~ = LED85) 실습 52-bit 2:1 MUX 회로를 case문을 사용하여 설계하시오.입력 A[1:0]: Bus SW1, 2입력 B[1:0]: Bus SW7, 8입력
    리포트 | 26페이지 | 2,000원 | 등록일 2024.09.08
  • [서울시립대] A+ 전자전기컴퓨터설계2(Bcd converter 코드포함) 5주차예비레포트
    멀티플렉서 로직 설계② 2입력 멀티플렉서 Test Fixture 파일 생성③ 2입력 멀티플렉서 시뮬레이션 결과 확인응용 과제(총3문항)● 2비트 2 : 1 MUX 회로를 설계하시오입력 ... 출력됨.3x8 디코더- 3개의 입력선과 8개의 출력선을 갖는 디코더① 3x8 디코더 로직 설계② 3x8 디코더 Test Fixture 파일 생성③ 3x8 디코더 시뮬레이션 결과 확인2 ... simulation=> 실습을 위한 PIN번호 설정● 4 : 1 MUX 회로를 설계하시오입력 A, B, C, D : 버튼 스위치 1, 2, 3, 4입력 S : BUS Switch
    리포트 | 14페이지 | 1,000원 | 등록일 2021.12.30
  • 디시설, 디지털시스템설계 실습과제 4주차 인하대
    4 to 1 MUX그림 SEQ 그림 \* ARABIC 1 : 모듈구현 결과그림 SEQ 그림 \* ARABIC 2 : wave form8 to 1 MUX그림 SEQ 그림 \* ARABIC ... 따라서 y의 2보수를 취해 연산을 진행하는 것인데, 스티뮬러스로 넣어준 y값들을 실제로 2보수를 취해 x와 덧셈연산을 진행하면 4비트를 넘어선 값이 출력되기 때문에 MSB의 1이 c_out으로 ... 뺄셈연산시 c_out에 모두 1이 출력된 이유를 보자. 우선 뺄셈연산은 사실 x + (-y)의 연산으로 진행된다.
    리포트 | 5페이지 | 1,500원 | 등록일 2021.08.31
  • u Processor 설계
    ALU 로 데이터를 전달하기 위하여 사용하고 2x1 MUX는 Program Memory와 ALU 연산결과를 Data Bus에 올려놓기 위해 사용한다.코드 및 설명2x1 MUX4x1 ... 선택 입력의 개수를 N이라고 하면 입력 데이터의 개수는 개의 관계를 가진다.이번 설계 실습에선 4x1 MUX, 2x1 MUX를 사용하는데 4x1 MUX는 Register 1~4로부터 ... 이번 설계 실습에서는 register 데이터 저장을 제어하기위한 2x4 Decoder와 명령어 로부터 어떤 연산을 할지 정해주는 출력과 MUX 4x1의 입력을 정해주는 출력, 2x1
    리포트 | 18페이지 | 2,000원 | 등록일 2020.10.05
  • <디지털회로실험> 멀티플렉서와 디멀티플렉서, 패리티발생기와 검사기
    저항 330Ω 4개, 함수 발생기-실험 결과실험 1) 74LS153 MUX 회로S2S1D3D2D1D0Y00XXX0000XXX1101XX0X001XX1X110X0XX010X1XX1110XXX0111XXX1실험 ... -사용기기 및 부품실험 1) Breadboard, DC power supply, 74LS153(MUX) 1개, 적색 LED 1개, 저항 330Ω 1개실험 2) Breadboard, ... (MUX, 데이터 선택기)를 구성하여 Slect 값 S1, S2에 대하여 입력 D0~D3 중 어떤 값이 출력 Y가 되는지 확인한다.멀티플렉서란 여러 개의 데이터 입력을 받아들여서 그중에
    리포트 | 7페이지 | 2,000원 | 등록일 2023.10.24
  • [부산대학교][전기공학과][어드벤처디자인] 8장 Multiplexer, Decoder 및 Encoder (8주차 결과보고서) A+
    구현된 4:1 MUX를 사용하여 다음의 논리함수를 실현하고 그 동작을 실험으로 확인하라.74x151 은 8:1 MUX이다. ... 실현된 2:4 디코더를 사용하여 아래의 함수를 실현하고 그 동작 상태를 점검하라.74x138은 출력이 active low 상태인 3:8 디코더이다. 그 동작을 점검하라. ... A,B,C가 0일 때 F는 1이다.A,B가 0이고 C가 1일 떄 F는 1이다.실험2먼저 회로를 구성하기 위해 설계한 논리회로 설계는 다음과 같다.여기서 8:1 MUX와 NOT게이트가
    리포트 | 10페이지 | 1,000원 | 등록일 2021.04.25
  • 디지털 실험 9장(멀티플렉서를 이용한 조합논리) 예비보고서
    회로를 설계하고 모든 가능한 입력을 점검하여 그것의 동작을 시험한다.예상결과2비트 비교기의 진리표는 다음과 같게 나올 것이다.InputsOutputConnectData to :A2A1B2B1X00 ... 다음과 같은 표와 그림이 나오게 된다.S2S1S0Y000I0001I1010I2011I3100I4101I5110I6111I7우리가 실험에서 사용할 MUX는 74151A MUX이다.Function ... 2^n개의 입력선 중에서 하나를 선택하여 출력선에 연결시켜 주는 회로이다.여러 개의 회로가 단일 회선을 공동으로 이용하여 신호를 전송하는데 사용한다.4*1 MUX 진리표S1S0Y00I001I110I211I3S1
    리포트 | 14페이지 | 3,000원 | 등록일 2019.12.17
  • 충북대 기초회로실험 4-비트 산술논리회로 예비
    같이 1비트 전가산기를 그리고 시뮬레이션을 한 다음 (b)와 같이 심볼화 하라.(2) 의 2x1 Multiplexer를 그리고 시뮬레이션을 한 다음 심볼화 하라.(3) 의 4x1 ... BXOR11E =bar{A}보수예비과제(1) 본 실험책의 부록을 참고하여 MyCAD 사용을 익히고, 2x4 decoder에 대한 회로도와 시뮬레이션 결과 및 심볼을 프린팅하시오.(2 ... Multiplexer를 그리고 시뮬레이션을 한 다음 심볼화 하라.(4) 실험 2에서 설계된 심볼을 이용하여 의 8x4 Multiplexer를 그리고 시뮬레이션을 한 다음 심볼화 하라
    리포트 | 3페이지 | 1,000원 | 등록일 2021.09.10 | 수정일 2021.09.15
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
11:11 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대