• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(391)
  • 리포트(370)
  • 시험자료(20)
  • 방송통신대(1)

"4비트 shift register" 검색결과 1-20 / 391건

  • 4bit circular shift register (Xillinx, Verilog, 소스코드, 파형포함)
    , .rst(rst), .din(shifted_A), .dout(readA));register UregB ( .clk(clk), .rst(rst), .din(dinB), .dout( ... ), .rst(rst), .din(dinA), .load(loadA), .dout(shifted_A));// shift registerregister UregA ( .clk(clk) ... ;wire [3:0] readA, readB;wire [3:0] adder_out;// circular shift registercir_shift Ushift_left(.clk(clk
    리포트 | 6페이지 | 1,500원 | 등록일 2012.04.02
  • 서강대학교 21년도 디지털논리회로실험 8주차 결과레포트 (A+자료) - Shift Register, Multiplier, 4-digit 7-segment display
    bits에 의해 shift되는 부분을 LP/MPLY라고 할 수 있다. ... Shift register를 이용한 multiplier 설계이진수의 곱셉 과정은 마치 하나의 동작이 되풀이되는 모습과 같다. 4-bit 두 수를 곱해서 8-bit가 나오기까지, multiplier의 ... -Multiplier 설계를 통해 shift register의 활용방법을 익힌다.-4-digit 4-segment display의 구동원리를 이해하고 활용을 위한 회로를 설계한다.이론2
    리포트 | 33페이지 | 2,000원 | 등록일 2022.09.18
  • 서강대학교 디지털논리회로실험 레포트 8주차
    FD4CE는 4-bit register, SR4RLED는 shift register이다. ... 그림 15는 이의 도식화이며 그림 15에서 각 block은 구현에 사용될 4-bit소자를 의미하며 필요에 또는 4-bit register 또는 4-bit shift register를 ... shift register는 그 구성 bit수 만큼 데이터를 지연시키는 역할을 하는 셈이 된다.그림 2는 serial-in, parallel-out shift register의 구조를
    리포트 | 20페이지 | 1,000원 | 등록일 2020.08.12 | 수정일 2020.08.26
  • 디지털 논리회로 실험 9주차 Shift Register 예비보고서
    이것을 shift-right연산이라고 한다.shift-left 연산을 설계하기 위해서 입력 데이터는 D DATE 입력에 인가되고, QD를 C에, QC를 B에, QC를 A에 연결해야 ... Serial-in Parallel-out Shift Register 74164 역시 기본 실험 (1)의 4-bit Serial-in Parallel-out 시프트 레지스터처럼 클럭이 ... (a) 논리도(b) 진리표그림 9-1 기본적인 시프트 레지스터4비트 직렬 시프트 레지스터는 그림 9-2와 같다. 이 레지스터4비트 2진수를 저장하는데 사용된다.
    리포트 | 11페이지 | 1,500원 | 등록일 2021.04.22
  • 아주대 논리회로실험 실험7 Shift Register 예비보고서
    실험 이론1) Shift Register- Shift Register는 소자에 저장된 데이터를 왼쪽 또는 오른쪽으로 각 클럭의 틱마다 한 비트씩 이동시킬 수 있는 n-bit Register이다 ... Shift Register의 구조는 연결된 Flip-Flop의 구조로 n-bitRegister의 경우 n개의 Filp-Flop이 필요하다. ... 값 0이 오 른쪽으로 shift 될 것이고, 초기 PE의 값이 0이므로 Preset A~D의 값이 각 F/F에 들어가지 못하게 만든다.
    리포트 | 8페이지 | 1,000원 | 등록일 2021.05.07 | 수정일 2021.07.23
  • 논리회로실험 순차회로 설계
    4bit 시프트 레지스터는 SISO(shift input shift output)의 형태로 4개의 D FF을 사용해 작성하였다.2) 테스트 벤치? ... 병렬 레지스터에서 이제까지의 설계는 우리가 논리기호를 만들어서 사용하였지만 4 bit 병렬 레지스터의 경우에는 이미 만들어져 있는 논리기호를 사용하여 간편하게 작성할 수 있었고, shift ... (shift)레지스터가 있다.
    리포트 | 6페이지 | 1,500원 | 등록일 2021.10.01
  • 울산대학교 디지털시스템 기말고사
    D flip flop을 사용해서 설계하시오.3. 8 bit shift right register를 D flop flop을 이용하여 설계하시오.4. half adder와 full adder를 ... 설계하고 이를 이용하여 4 bit BCD addder를 설계하시오.Test1. 2(0010)+8(1000)=10(0000),(C=1) Test2. 9(1001)+9(1001)+C= ... 모듈로 15 upcounter 및 downcounter를 T flip flop을 사용해서 설계하시오.UP의 0,1로 UP카운터와DOWN카운터로 컨트롤2. 8 bit Johnson counter를
    시험자료 | 4페이지 | 2,000원 | 등록일 2020.12.27
  • 논리회로설계실험 8주차 register 설계
    작동함을 알 수 있다.4.2) 8-bit shift Register8-bit shift register의 출력 wave는 위와 같이 나왔다. ... 4.1) 8-bit Register8-bit register의 출력 wave는 위와 같이 나왔다. ... ]에 한 bit씩 출력시킴을 확인할 수 있다.3.2) 8-bit shift register (Structural modeling)8-bit shift register도 마찬가지로 1
    리포트 | 5페이지 | 3,000원 | 등록일 2023.09.11
  • [논리회로실험] 실험7. Shift Register 결과보고서
    경우 6 bit shift right register를 구현했다. ... 실험 과정 및 실험 결과1) 실험 1 : 6bit Shift Right Register- 6bit shift right register를 JK 플립플롭을 이용해 구성한다.- CLR을 ... 예상결과 Truth table과 일치한다.2) 실험 2 : 5bit Shift Right Register- 5bit shift right register를 구성한다.- 9번과 8번
    리포트 | 5페이지 | 1,000원 | 등록일 2023.05.27
  • [논리회로실험] 실험7. Shift Register 예비보고서
    실험과정 및 예상 결과1) 실험 1 : 6bit Shift Right Register- 6bit shift right register를 JK 플립플롭을 이용해 구성한다.- CLR을 ... ) 실험 2 : 5bit Shift Right Register- 5bit shift right register를 구성한다.- 9번과 8번 핀에 0을 입력한다.- CLR로 모든 정보를 ... 병렬 정보 변환기직렬 형태의 정보는 한 번에 한 비트씩 하단 레지스터에 입력으로 전달되고 한 비트씩 전송된 정보가 모두 전송되어서 레지스터에 저장된 후 각 stage에 있는 정보를
    리포트 | 7페이지 | 1,500원 | 등록일 2023.05.27
  • [A+] 중앙대학교 마이크로프로세서 응용회로 설계실습 결과보고서 4주차
    그래서 각 bit를 left shift 또는 right shift하여 각각의 register에 0과 1의 값을 부여해 led의 점등을 통해 기능을 수행하고자 shift 연산을 한다. ... 마이크로프로세서 응용회로설계실습(4주차 결과보고서)1. led 함수들(led_down_shift, led_up_shift)에서 shift연산을 하는 이유는 무엇인가? ... 교재 30page의 LED 회로를 보면 led는 16-bit edge triggered D Flip-Flop에, D Flip-Flop은 Data Bus의 15~0번 bit에 연결되어
    리포트 | 2페이지 | 2,500원 | 등록일 2024.01.14
  • 디지털시스템설계실습_HW_WEEK6
    만약 4-bit shift-register를 blocking으로 구현했다면 값이 한 번에 sin의 값을 받아 제대로 파형이 출력되지 않았을 것이다. ... • Discussion이번 시간은 4-to-1 MUX를 ifelse , 4-bit shift-register, 4-to-16 Decoder를 베릴로그로 코딩하고 파형을 확인해보는 시간이었다.과제를 ... bit shift-register를 구현하면서 nonblocking과 blocking의 차이를 생각하며 코딩했다.
    리포트 | 6페이지 | 2,000원 | 등록일 2023.06.11
  • 서강대학교 디지털논리회로실험 8주차 - Shift Registers
    data가 shift 되도록 설계된 소자다. clock의 한 주기 당 하나의 FF만큼 data를 shift하므로 1bitshift 된다고 볼 수 있다.이러한 shift register는 ... 입출력 방식을 각각 직렬, 혹은 병렬로 하는지에 따라 4가지로 나눌 수 있는데, 우선 입출력을 모두 직렬로 연결한 Serial-in, serial-out shift register는 ... 실험 목적Shift register의 구조와 동작원리를 이해하고, Multiplier 설계를 통해 shift register의 활용방법을 익힌다.
    리포트 | 24페이지 | 1,500원 | 등록일 2024.08.17
  • [A+]중앙대학교 마이크로프로세서 응용회로설계실습 led 실습 결과보고서
    shift한 것 중 하위 8개 bitregister로 가게 된다. ... 하게 된다. led_up_shift를 하게 되면 long 0xFF00, 즉 1111_1111_0000_0000을 i번 right shift한 것 중 하위 8개 bit(short)가 ... 마이크로프로세서응용회로설계실습4주차 결과보고서소속공과대학 전자전기공학부담당교수강의시간학 번성 명교재 ‘그림 25’ 회로도를 참고하여 LED를 ON시키기 위해서 Register에 0을
    리포트 | 3페이지 | 1,000원 | 등록일 2023.03.27 | 수정일 2023.04.04
  • 디시설, 디지털시스템설계 실습과제 9주차 인하대
    이론수업 과제에서는 4가지 유형의 shift register를 mux를 사용해 선택해서 사용할 수 있는 universal shift register를 구현했다. ... 이후 나타나는 positive edge에서 4비트 din 1111이 출력되는 것을 확인 할 수 있다. parallel load가 추가된 shift register가 정상적으로 출력되는 ... 즉, 새로운 데이터를 입력 받고 기존의 저장된 데이터를 1비트shift 하는 shift register가 제대로 동작하는 것을 확인했다.두번째 문제에서는 첫번째 문제와 동일한데
    리포트 | 6페이지 | 1,500원 | 등록일 2021.08.31
  • 서강대학교 23년도 마이크로프로세서응용실험 4주차 Lab04 결과레포트 (A+자료)
    마이크로프로세서응용실험 4주차 실험 보고서목적- 논리, 연산, 곱셈, 나눗셈, shift, rotation과 같은 데이터 처리 명령어들을 수행시키며, 레지스터에 저장되는 결과와 flag의 ... 또한 STEP 12의 그림 12-2처럼 shift, rotate 명령어를 사용할 때, 빠져나가는 bit를 임시로 저장하는 역할을 할 수 있다. ... 예를 들어 STEP 12에서 그림 12-3을 본다면, 부호를 고려한 ASRS 명령어를 사용했을 때에는 sign bit가 그대로 복사되며 빈공간을 채우는 방식으로 shift가 수행된다
    리포트 | 68페이지 | 2,000원 | 등록일 2024.03.24
  • [A+보고서] 회로실험 쉬프터 레지스터 예비보고서
    4bit shift register (4개의 플립플롭)? 8bit shift register (8개의 플립플롭)3. ... 전송할 수 있다.- JK 플립플롭으로 4비트 right shift register를 구성할 경우J _{A} =Q _{Bn,} ````````K _{a} =Q _{Bn}이 되 며 과 ... 같은 회로를 얻을 수 있다. 4비트 우 쉬프트 레지스터 JK플립플롭 4비트 우 쉬프트 레지스터tntn+14비트 우쉬프트 레지스터의 진가표tntn+1QBQAQAxQDQD0******
    리포트 | 7페이지 | 1,500원 | 등록일 2022.12.24
  • 디시설, 디지털시스템설계 이론과제 8주차 인하대
    따라서 reset_n신호는 clk와 동기화 되지 않는 asynchronous 신호이다. universal shift register는 4개의 방식으로 작동하기 때문에 2비트 select신호를 ... 따라서 새로 입력된 lsi 값을 출력 최상위 비트에 입력시키고 이전 data를 right shift하는 register가 구현된다. left shift의 경우 기존 register에 ... 모든 값을 0으로 초기화 시켰다.진리표에 나온 universal shift register의 4가지 방식 모두 select신호를 사용해 정상적으로 작동하는지 테스트했고 결과적으로
    리포트 | 4페이지 | 1,500원 | 등록일 2021.08.31
  • 중앙대 마이크로프로세서 응용회로설계실습 4주차 LED
    된다.포인터 led에 어떤 값을 넣어주기 위해 shift 연산을 사용한다.led의 레지스터에 0을 넣으면 불이 켜지고 1을 넣으면 불이 꺼지게되는 원리를 이용하여4비트 long이란 ... 주소를 init_led를 통해 받고 변수가 가르키는 포인터를 register 값을 입력해서 제어한다.레지스터가 바뀌는것에 따라, led가 입력해준 방식대로 register가 변화하게 ... 마이크로프로세서설계실습(결과보고서 4)소속담당 교수교수님수업 시간학번성명1. led 함수들(led_down_shift, led_up_shift)에서 shift연산을 하는 이유를 설명하시오.led의
    리포트 | 7페이지 | 1,000원 | 등록일 2022.07.04
  • 인하대 FPGA 실습
    출력 값을 보면 10ns에서 들어온 입력 값 1이 클럭의 posedge마다 오른쪽으로 한 칸씩 shift되는 것을 확인 할 수 있다. (0010(2) 출력)#40nsIN=1으로 변경되었다 ... 따라서 50ns에서 1001(2)이 오른쪽으로 shift되고 입력으로 1이 들어온 1100(2)이 되는 것을 확인 할 수 있다. 60ns에서도 마찬가지로 1110(2)이 되는 것을 ... ,Q3,Q4에 0이 출력되는 것을 확인 할 수 있다. (1000(2) 출력)#20nsIN=0으로 변경되었다.
    리포트 | 2페이지 | 20,000원 | 등록일 2022.09.09
AI 챗봇
2024년 08월 30일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
2:15 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대