• 유니스터디 이벤트
  • LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(5,205)
  • 리포트(4,846)
  • 시험자료(166)
  • 자기소개서(78)
  • 방송통신대(57)
  • 논문(27)
  • 서식(23)
  • 이력서(3)
  • 노하우(3)
  • ppt테마(2)

"7카운터" 검색결과 1-20 / 5,205건

  • [마이크로컨트롤러]7th_8비트타이머카운터
    8 비트 타이머 / 카운터 타이머 / 카운터 ? ... ATmega128 타이머 / 카운터 ▶ 8 비트 타이머 / 카운터 : 타이머 / 카운터 0, 타이머 / 카운터 2 16 비트 타이머 팅 : OCR0==TCNT0 → OC0 (Low ... 모듈 을 두고 카운터의 계수 동작 가능 → 하드웨어는 CPU 연산과 관계없이 동작 타이머 / 카운터의 필요성 외부에서 입력되는 펄스의 정확한 발생 시각을 알 필요가 있음 ▶외부 장치가
    리포트 | 37페이지 | 1,500원 | 등록일 2022.10.10
  • 10, 16진 카운터 설계 vhdl 5-6-7카운터 포함
    설명이번 카운터는 값이 5,6,7만 출력되고 다시 5-6-7-5-6-7-5-6-7-… 으로 반복되는 카운터를 설계 해본다. ... ---p.7이론적 설명코드 및 설명출력 결과2~13 반복 12진 카운터------------------------------------p.9Register란? ... ------------------------------p.6이론적 설명코드 및 설명출력 결과5-6-7 반복 3진 카운터-----------------------------------
    리포트 | 10페이지 | 1,500원 | 등록일 2020.10.05
  • 디지털회로실험 7-세그먼트, 비동기식 카운터 실험 레포트
    기본 이론- BCD × 7- 세그먼트 디코더- 7-세그먼트(7-segment)는 [그림 1]과 같이 LED 7개로 숫자를 표시한다.- 7-세그먼트(7-segment)는 0000 ~ ... 디지털회로실험실험보고서제목 : 7-세그먼트 디코더 및 표시기비동기식 카운터 (4비트 비동기식 상향 카운터)(4비트 비동기식 하향 카운터)(비동기식 2진 · 5진 카운터)1. ... 케이블을 연결하여 5[V]의 전압을 인가한다.- 7-세그먼트 회로의 입력을 바꿔가며, 입력에 따른 출력(7-세그먼트 값)을 확인한다.- 4비트 비동기식 상향 카운터 실험(7400,
    리포트 | 10페이지 | 1,500원 | 등록일 2020.12.13
  • 7장 카운터카운터응용 연습문제 풀이
    리포트 | 9페이지 | 1,000원 | 등록일 2019.08.29
  • 안드로이드 버튼 예제 (7) - 카운터
    package com.example.greenpatch.g07_button_count;import android.support.v7.app.AppCompatActivity;import ... android.widget.Button;import android.widget.EditText;import android.widget.TextView;/*** 안드로이드 버튼구현 - 7*
    리포트 | 2,000원 | 등록일 2016.06.30 | 수정일 2016.07.03
  • 실험7.카운터
    실험 결과(Experimental Results)(1)그림 7-1의 비동기식 10진 카운터를 구성하여라.7-1 회로구성(2) (1)에서 구성한 비동기식 10진 카운터를 사용하여 표 ... 또한 3비트 이진 증가형 카운터는 클럭펄스가 하나씩 인가될 때마다 상태값이 10진수로 볼 때 0, 1, 2, ... , 7과 같이 차례로 변화되므로 0부터 7까지 세는 카운터라고 말하기도 ... 7-3의 카운터 상태표를 기록하고 표 7-1와 결과를 비교하여라CLKQ4Q3Q2Q*************0113001140101501016011170111810019100110'1011100001110001실험
    리포트 | 7페이지 | 1,000원 | 등록일 2011.06.08
  • 실험4) 타이머와 카운터 실습 7, 8
    인터럽트 제어 프로그램 방법 습득3) 사전지식- 사용할 타이머/카운터 결정여기서는 8비트 타이머/카운터인 타이머/카운터 0를 사용- 동작모드 결정여기서는 일반 동작 모드 사용TCCR ... 월 일 요일▣실습7 : 타이머로 LED 점멸1) 실습개요- ATMega128 마이크로컨트롤러의 타이머 기능을 이용하여 LED를 점멸시키는 실습- 타이머를 이용하여 정확히 1초 마다 ... 레지스터의 CS를 제외한 모든 비트들을 0으로 세트- 타이머 클럭 결정(클럭소스 및 프리스케일러 결정)여기서는 내부 클럭(7.3278MHz)을 사용프리스케일러는 최대한 주기를 길게
    리포트 | 9페이지 | 1,500원 | 등록일 2015.11.12
  • 실험7. 카운터
    또한 3비트 이진 증가형 카운터는 클럭펄스가 하나씩 인가될 때마다 상태값이 10진수로 볼 때 0, 1, 2, ... , 7과 같이 차례로 변화되므로 0부터 7까지 세는 카운터라고 말하기도 ... 디지털논리회로 실험예비 레포트한양대학교전자정보시스템전공 3학년실험7. ... 카운터학 번2003040520성 명우 재 홍관련이론○ 카운터카운터는 동기식 카운터와 비동기식 카운터로 구분할 수 있다.
    리포트 | 5페이지 | 1,500원 | 등록일 2008.05.25
  • 실험7[1]. 카운터
    그림 7-2는 JK 플립플롭으로 이루어진 통상적인 4비트 이진 올려 세기 카운터의 회로도이다.그림 7-2에서 보듯이 JK 플립플롭을 사용하여 모든 앞단의 출력들을 AND 게이트로 모아 ... 7-4는 JK 플립플롭으로 만든 modulo-3(÷3)카운터의 회로도와 상태표이다. ... 이런 성질을 원용하면 그림 7-3에서 보는 리플캐리 계수기(ripple carry adder) 도 쉽게 만들 수 있는데 리플캐리 카운터는 완전한 동기식 카운터와 비동기식 카운터의 중간
    리포트 | 6페이지 | 1,000원 | 등록일 2009.10.26
  • VHDL실습 16진, 10진, 3진(5-6-7), 12진(2-13)카운터 설계 및 구현
    또 7이 되면 다시 5로 돌아갈 수 있도록 카운터에 ’101’을 넣어줬다.RTL viewer를 통해 5-6-7반복 3진 카운터가 다음과 같이 설계되었음을 확인한다. ... file을 열어 다음과 같이 5부터 1씩 증가해 7이 되면 초기화되는 3진 카운터로 동작할 수 있도록 코드를 짰다. 5-6-7만 표현하므로2 ^{0},2 ^{1},2 ^{2} 3비트만 ... . key3을 누를 때마다 클락이 rising edge가 되어 값이 1씩 증가한다. 0부터 1,2,3,,,9까지 증가하는 것을 확인했다.(3) 5-6-7반복 3진 카운터file-new-VHDL
    리포트 | 17페이지 | 2,000원 | 등록일 2019.04.20
  • [마이크로프로세서] 7segment 1~9999카운터 (설계)
    과목명 : 마이크로프로세서제목:7segment 1~9999카운터설계#include // AT89S51 header file#defineFND0 P1 // Port 1, FND0 Data로 ... }; // 0 1 2 3 4 5 6 7 8 9 A B C D E F .unsigned char buff10,buff1,buff100,buff1000; // 함수선언int i;P0=0xff ... unsigned char fnd[17]={0xc0,0xf9,0xa4,0xb0,0x99,0x92,0x82,0xd8,0x80,0x98,0x88,0x83,0xc6,0xa1,0x86,0x8e,0x7f
    리포트 | 2페이지 | 1,500원 | 등록일 2010.12.14
  • 디지털회로 [ 7-세그먼트디코더, 쉬프트 레지스터,업-다운카운터, 각종 카운터 _ 사전 ]
    8-9. 7-세그먼트디코더, 쉬프트 레지스터업-다운카운터, 각종 카운터제출일실험조이름-사전 보고서-? ... 실험목적이번 실험의 목적은 Verilog HDL을 사용한 회로 설계 방법과, Quartus, FPGA 사용법을 익혀 7-세그먼트 및 각종 카운터를 설계, 동작해보는 것이다.? ... 업-다운 카운터? 업-다운 카운터는 제어신호의 상태에 다라서 업 또는 다운 동작을수행하는 카운터이다.
    리포트 | 4페이지 | 1,000원 | 등록일 2008.04.09
  • ATmega32의 타이머/카운터 기능을 이용한 7-Segment와 LED 밝기 조절
    ++;//10번 채우면 100msif(cnt[0]==10){cnt[1]++;//10번 채우면 1000ms=1sif(cnt[1]==10){cnt[1]=0;sc++;//segment 카운터 ... TC1/3의 경우에는 16비트 크기를 가진 타이머/카운터 인터럽트로 실험에서 필요한 분주와 TCCR값, OCR값을 알아내기에는 최적이었다.ⓔ TCCRnTCCRn은 두 종류로 나뉘는데 ... =0x6B 라고 설정된 경우는 0번 타이머 카운터 인터럽트를 FAST PWM모드로 사용하며, OC0가 클리어되면 Compare Match를 하게 되고 OC0는 TOP으로 세팅되며,
    리포트 | 6페이지 | 2,000원 | 등록일 2008.12.15
  • [논리회로] 실험 7. 비동기형 카운터
    실험 7. 비동기형 카운터1. ... 차례를 따라 가는 것이며, BCD카운터는 BCD code에 따라 상태가 변화한다.- 따라서, 3비트이진 카운터는 이진수 순서를 따라 0부터 7까지 8개의 서로 다른 상태가 존 재하며 ... Binary Up Counter)- 이진 상승 카운터는 이진수가 증가하는 순서를 따라 상태 변화가 반복되는 카운터이다. - 7번째 클럭에서오기 전에는 모든 플립플롭들은 0으로 clear시켜둔다
    리포트 | 11페이지 | 1,000원 | 등록일 2004.07.23
  • verilog program 00부터99카운터(counter) 7-segments LEDs에 디스플레이(Display)
    Out=7'b1001100; end5: begin Out=7'b0100100; end6: begin Out=7'b0100000; end7: begin Out=7'b0001101; ... =7'b1100000; end12: begin Out=7'b0110001; end13: begin Out=7'b1000010; end14: begin Out=7'b0110000; end15 ... end8: begin Out=7'b0000000; end9: begin Out=7'b0000100; end10: begin Out=7'b0000010; end11: begin Out
    리포트 | 3페이지 | 1,500원 | 등록일 2008.05.15
  • verilog program BCDcounter(00~ 99 카운터) 7-segments(7세그먼트) k-map/회로도/ verilog 소스포함
    소스 module seg(out7,out6,out5,out4,out3,out2,out1,a,b,c,d); // 7 segment 부분입니다. ... 1.문제정의Veliog를 이용하여, 0~99까지의 숫자를 7-Segment 나타내는 Code를 구현한다. ● 설계과정 ●1) 7segment를 만들기 위한 각각의 부울식을 진리표와 ... K-맵을 이용하여 구한다.2) 부울식을 사용하여, 7segment를 만든다.2) D플립플롭을 이용하여 JK플립플롭을 만든다.
    리포트 | 10페이지 | 2,000원 | 등록일 2008.07.25 | 수정일 2022.01.13
  • [7segment] 멀티심을 이용한 학번 카운터 설계 내부에 그림파일이 포함되어 있습니다.
    학번을 전광판에 출력하는 것으로 카르노맵을 만들어 로직표현으로 바꾸는 과정을 처음부터5개 step으로 만들어놨고 원서수업이라 원서 수업이라 영문으로 만들어놔서 그런지 교수님도 굉장히 좋아하셨습니다.
    리포트 | 5페이지 | 2,000원 | 등록일 2007.11.01
  • 10진 카운터 (논리게이트, 디지털 IC의 종류와 특징, 7 Segment, 74LS90의 리셋 방법)
    5진 카운터가 내장된 IC이다. 2진 출력에서 5진 카운터 입력에 클럭으로 입력하면 10진 카운터로 동작한다. 1번과 12번을 직결하면 10진 카운터로 동작한다. 2진 카운터는 0과 ... 1두개를 카운터 하는 것이고 5진하고 연결하게 되면 0000-1001까지 카운터 할 수 있다. ... 이들 칩을 BCD to 7-Segment Decoder/Driver이라고 부른다. 기능은 BCD 값을 입력하면 그에 맞는 값을 7-Segment에 출력 한다.
    리포트 | 12페이지 | 2,000원 | 등록일 2008.11.28
  • [전산기구조론] 순차회로를 이용한 이진카운터의 설계[0~7까지 카운터]
    순차회로 설계 방법을 이용하여 0,1,2,3,4,5,6,7에서 다시 0,1,2,..세어 나가는 이진 카운터의 회로도를 그리시오.1. ... 개요0~7까지 세어나가는 것은 Modulo-8 동기카운터의 신호의 계수 방법이다J-k Flip-Frop을 이용하여 제작하는 것이 가장 바람직한 설계방법이다.2. ... 카운터 회로도 설계{
    리포트 | 4페이지 | 1,000원 | 등록일 2003.05.07
  • Counter 회로제작 (기초공학실습, KAIST)
    {Q _{B}}01101010111110110120101011030101그러므로 Mod 3 카운터는 그림 4와 같이 동작한다.7-segment display일곱 개의 LED를 접속하여 ... 다음회로도와 같이 연결하시오.74LS47 과 7-segment의 같은 기호끼리 연결하시오2. ... RESULT WITH ERROR ANALYSIS (or GRAPH)CK pulse 에 따른 A,B,C,D 점에 대한 상태.- 실제로 7-segment-display의 동작을 확인하였다
    리포트 | 8페이지 | 1,500원 | 등록일 2020.12.31
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 04일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:45 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대