• 통큰쿠폰이벤트-통합
  • 통합검색(169)
  • 리포트(166)
  • 시험자료(2)
  • 논문(1)

"7 seg led" 검색결과 1-20 / 169건

  • Evaluator-7T를 이용한 7-segLED 제어
    목 10 조전자공학실험 2(#3, Evaluator-7T를 이용한 7-segLED 제어)#목 10조 7-segLED 제어1.TitleEvaluator-7T를 이용한 7-seg와 ... LED 제어2.Name3.AbstractEvaluator-7T를 이용하는 첫 번째 실험으로 S3C4510B microcontroller에 대해 이해하고, 7-segLED를 사용하여 ... - Rm상수값만큼 LSL - Rm, LSL #shift_imm레지스터값만큼 LSL - Rm, LSL Rs상수값만큼 LSR - Rm, LSR #shift_imm레지스터값만큼 LSR
    리포트 | 35페이지 | 3,000원 | 등록일 2010.10.17
  • 디지털시스템설계 7-segments 실습보고서
    실험목표FPGA 7-segments 구동 Design- 7-Segment LED Display 이해- 4-Digit의 7-Segment LED Display의 Rotate 동작의 이해 ... seg_data를 8비트 출력(아웃풋) 데이터로 선언한다.입력된 데이터를 7-Segment에 맞게 10진수로 변환하는 코드이다.(3) 7-Segment 제어 모듈Segment 제어 ... 이 코드로 7-Segment를 제어한다.2) FND decorder source모듈의 이름을 bin2seg로 설정하고, bin_data를 4비트 2진수인 입력(인풋) 데이터로 선언,
    리포트 | 11페이지 | 2,000원 | 등록일 2022.10.28
  • 충북대 디지털시스템설계 결과보고서5
    해당하는 segment를 선택하고 숫자를 7-segment에 맞게 변환하는 서브모듈인 bin2seg를 불러와 4개의 7-segment들을 변환시킨다. ... 그리고 앞에서 cnt4에 따라 선택된 segment에 표시될 숫자를 정의한다.FND decoder codebin2seg 모듈은 입력된 10진 숫자들을 7-segment 출력에 맞게 ... 그리고 LED에서와 마찬가지로 clock_12MHz를 PLL24X2에 입력시켜 clock_24MHz로 변환시고 서브 모듈인 7-segment 구현 모듈을 불러온다.7-segment
    리포트 | 8페이지 | 1,500원 | 등록일 2022.02.12 | 수정일 2022.02.14
  • 건국대학교 전기전자기초설계및소프트웨어실습 12주차 레포트 A+
    숫자표시를 위해 7개의 LED와 소수점 표시를 위해 1개의 LED 사용하며, 각 LED Segment에 A~H로 이름을 붙인다. ... 위해 7+1개의 LED를 묶어 한 자리의 숫자를 표시할 수 있도록 제작한 소자이다. ... , seg_data[FND2][5]);digitalWrite(pinG, seg_data[FND2][6]);digitalWrite(pinDP, seg_data[FND2][7]);delay
    리포트 | 11페이지 | 7,000원 | 등록일 2024.04.14 | 수정일 2024.04.22
  • 임베디드 시스템 중간고사 시험
    }// 잠시 대기 후 다음 입력을 받습니다.sleep(1);}return 0;}4.눌러진 스위치 값 LED 표시5.홀수,짝수번째 번갈아 LED켜기 /끄기6.홀수 번째 LED 켜기/끄기7 ... 디스플레이에 숫자를 표시하는 맵핑 테이블const uint8_t seg_map[] = {0x3F, // 00x06, // 10x5B, // 20x4F, // 30x66, // 40x6D ... uint8_t seg_map[] = {// A B C D E F G (세그먼트 이름)0b00111111, // 00b00000110, // 10b01011011, // 20b01001111
    시험자료 | 7페이지 | 22,000원 | 등록일 2024.02.07 | 수정일 2024.04.21
  • VHDL코드를 이용한 4비트 감가산기 구현
    seg6 LED에 출력하도록 한다.⑥ res값이 0보다 작은 경우를 제외하고는 res값이 양수이므로 res값을 16으로 나눈 몫을 seg5 그 나머지를 seg6 LED에 출력하도록 ... 값을 넣고 그걸 이용해 “underflow LED”로 지정한 LED D8에 불이 들어오고 seg4에 (-)부호가 출력된다.- (-)res값을 16으로 나눈 몫을 seg5 그 나머지를 ... ”로 지정한 LED D7에 불이 들어오고 양수 값이므로 부호는 생략한다.⑤ 감산의 과정- res값이 0보다 작으면 underflow가 발생하는데 이 때, under라는 변수에 ‘1’
    리포트 | 8페이지 | 1,000원 | 등록일 2020.05.19
  • 건국대학교 마이크로프로세서응용 Lab10 A+
    command |",CR.db "| led : LED Test |",CR.db "| seg1 : Single Segment Display Test |",CR.db "| segn : ... // Carrage Return#define EOS0x00 // End of String#define BS0x7F // Back Space#define TAP0x09#define ... CTRL_C0x03#define SetGREEN0x1b,"[0;32m"#define ClrCOLOR0x1b,"[0m"#define TMODE_LED0x01 ; LED TESTmode
    리포트 | 97페이지 | 5,000원 | 등록일 2024.04.11 | 수정일 2024.06.12
  • 시립대 전전설2 A+ 8주차 예비레포트
    2일 경우 6번째 FND에 ‘2’를 출력하게 함cnt_scan의 값이 3일 경우 5번째 FND에 ‘3’을 출력하게 함reset이 1일 경우, seg_com과 seg_data 모두 초기화2 ... (점(dot)을 포함하면 8개의 segment)숫자나 문자를 표시해 줄 수 있는 최소의 장치.8개의 LED segment (a ~ g, dot)로 구성되어 있으며, 각각의 LED에 ... 커지면 다시 0으로 초기화.reset이 1일 경우, cnt_scan의 값을 0으로 초기화.cnt_scan의 값이 0~7을 반복하여 8 array 7-segment의 각 위치를 빠른
    리포트 | 27페이지 | 2,000원 | 등록일 2024.09.08
  • 서울시립대 전자전기설계2(전전설2) 8주차 사전보고서
    결과적으로 표시할 데이터를 seg_data를 통해 전달하고 어느 곳에 나타나게 할 것인지를 결정하는 것이다 seg_con(common 단자)이다.위 코드는 다이나믹 7segment의 ... 각 데이터에 Low 값을 전달하여 LED에 불이 들어오도록 설정한다.1. 7-segment decoder 설계7-segment 설계에서는 하나의 segment LED에 0에서 9까지 ... 기본 숙지 사항0) 7-Segment Decoder숫자나 문자를 표시해주는 장치, 8개의 LED로 구성되어 있으며, 각각의 LED에 불이 들어왔을 때의 상태에 따라 다양한 문자 표시가
    리포트 | 13페이지 | 1,500원 | 등록일 2019.11.05
  • [논리회로설계실험]VHDL을 활용한 CLOCK설계
    선언부에서 각 LED를 변수로 설정하였고, seg변수를 8비트의 2진수로 구성하여, 각 비트가 7segment의 LED 1개씩을 담당하도록 한다. ... 아래 그림은 7segment의 모식도이며, 각 LED가 a~g, dp로 할당된 것을 알 수 있다. ... 매우 짧은 시간동안 계속해서 값을 연산하여 7segment에 나타나지만, 우리 눈은 LED가 항상 켜져있는 것으로 생각하여 clock을 구현하게 된다.
    리포트 | 12페이지 | 2,000원 | 등록일 2021.06.26
  • 최소한의 IC칩을 사용해 7-segment 구동 실험
    소수를 나타내기 위해서 숫자의 오른쪽 아래에 소수점(DP)이 붙는 경우도 있다.7세그먼트의 각 획에는 LED가 내장되어 있어 LED의 점등으로 표시를 한다. ... A부터 G까지 7개, DP 1개, 총 8개의 LED가 내장되어 있다.7-Segment는 동작하는 방식에 따라 Anode와 Cathode Type으로 나누어진다. ... Common Pin에 연결되고, Cathode 각 각각의 8개의 Pin으로 연결되는 7세그먼트이다.Common-Cathode Type은 내부 LED의 Cathode가 Common Pin에
    리포트 | 31페이지 | 2,500원 | 등록일 2020.11.15 | 수정일 2022.04.23
  • 중앙대학교 실험 결과 보고서(하드웨어 LED점등설계실습)
    하드웨어 인터럽트를 이용한 LED 점등 예제.....cseg.....org 0x0010JMP ISR_INT7....BOOT : ....LDI R16, 0b10000000OUT EICRB ... ;-----------------------------------------------------ISR_INT7.........cseg.....org 0x000EJMP ISR_INT6 ... 결과 보고서7.과목명담당 교수학과학번실습일2-4 실험내용 및 절차2-4-1 Atmel studio를 사용하여 하드웨어 인터럽트 예제를 실행한 후 동작을 확인한다.2-4-2 계획서 2
    리포트 | 4페이지 | 1,000원 | 등록일 2020.01.13
  • 논리회로설계실험 스탑와치(stopwatch) 레포트
    스위치에 해당하는 변수, digit_con은 시간이 뜰 6개의 7 segment의 위치에 해당하는 변수, sseg7seg에 해당하는 변수이다.(5행-9행)선언부의 signal이 ... 이를 외부로 보여주기 위해 7segment에 출력하는 코드를 작성하여 이것이 가진 십진수에 맞게 led를 작동시켜 표현하여야 한다.4. ... 또한 이 clk들로 자릿수 올림을 하는 코드를 구현한다.3. 7seg표시방식1과2를 통하여 스위치에 따른 스탑워치의 작동과 분주기 설정, 자릿수 증가가 내부적으로 구현되었다.
    리포트 | 13페이지 | 7,000원 | 등록일 2021.10.09
  • [A+] 중앙대 아날로그 및 디지털 회로설계실습 Delay Loop Routine을 이용한 LED 점등 설계실습 예비보고서
    출력임을 알 수 있고, 각각 LED 1번에서 LED 4번까지의 출력을 의미한다.즉 코드 첫 부분을 살펴보면.nolist.list.cseg.org0x0000.......LDIR16, ... )출력(LED3)출력(LED2)출력(LED1)입력입력입력입력레지스터에 해당하는 DDRD는 1을 write하면 출력이고 0을 write하면 입력이므로, 위 표와 같이 4bit에서 7bit는 ... 실습 목적- AVR 마이크로 컨트롤러의 구조 및 동작 원리의 습득- I/O port 출력을 통한 주변 장치 제어 프로그램의 실습- Atmel studio (AVR 마이크로 컨트롤러
    리포트 | 4페이지 | 1,000원 | 등록일 2021.09.01
  • 건국대학교 마이크로프로세서응용 Lab3 A+
    led test |\n");printf("| seg1 : single segment test |\n");printf("| segn : multi segment test |\n"); ... ", "led", "seg1", "segn", "phone", "proj" }; // 내장 명령어들을 저장할 배열// 입력받은 문자열을 cmdBuffer 배열에 저장하는 함수void ... 이와 같은 과정을 Y 레지스터 값에도 동일하게 해주었다.#3-7 : Find the most1 number#3-7 소스 코드 :.CSEG.ORG 0x0000;JMP RESET;.ORG
    리포트 | 32페이지 | 5,000원 | 등록일 2024.04.11 | 수정일 2024.04.22
  • 논리회로실험 프로젝트 2, stop watch 설계
    여기서 이 값들을 7 segment로 변환 시킬 때, 각 단위의 끝 부분은 dot 표시를 사용하기 때문에 dot_seg함수를 사용하였고, 나머지 부분은 seg함수를 사용하였다.디바운싱 ... 설계 방법 및 결과1) 소스코드입력, 출력 및 변수 설정 & 7 segment- cnt_dc는 LED판이 총 6개 이므로 각 부분에 7 segment를 할당해 주기 위해 0~5까지로 ... segment- 7 segment 표시 장치(Seven-segment display)는 표시 장치의 일종으로, 7개의 획으로 숫자나 문자를 나타낼 수 있어 7 segment 라는 이름이
    리포트 | 14페이지 | 1,500원 | 등록일 2021.10.01
  • 논리회로설계실험 BCD가산기 레포트
    바꿔주는 코드이다.input으로 4bit의 수를 받는다.(6행)output으로 7bit의 수를 출력한다.(7행)아래는 seg(7)에서 seg(1)순서이다.f(a)`= {bar{A ... 0이 입력 될 경우 LED가 켜지는 형태로 출력해야한다. ... 반대로 Common Cathode 방식은 모든 LED의 공통단자가 GND에 물려 있어 각 단자에 Vcc를 연결할 때 불이 들어오는 방식이다.
    리포트 | 14페이지 | 7,000원 | 등록일 2021.10.09
  • 서울시립대 전자전기설계2(전전설2) 8주차 결과보고서
    이후 decode와 buff 변수들을 통해 실제 장치에 값이 출력되게 하는 코드를 작성하였다.콤보박스 실험 결과 음성은 정상적으로 출력되었고, seg 출력 역시도 정상적이었다.실습 ... 기본 숙지 사항0) 7-Segment Decoder숫자나 문자를 표시해주는 장치, 8개의 LED로 구성되어 있으며, 각각의 LED에 불이 들어왔을 때의 상태에 따라 다양한 문자 표시가 ... 실습 3 점을 포함한 8비트 신호의 7-segment 설계앞에서 작성한 7-segment 코드가 점을 제외한 코드였다면 현재 작성하는 8비트 신호의 7-segment는 숫자 뒤에 작게
    리포트 | 15페이지 | 1,500원 | 등록일 2019.11.05
  • 중앙대학교 실험 예비 보고서 (하드웨어 LED 점등 설계실습)
    하드웨어 인터럽트를 이용한 LED 점등 예제.nolist.list.cseg.org 0x0000 ; 0x000은 reset 인터럽트 벡터로서 power-on 시; cpu 실행을 시작하는 ... 시작하는 주소임JMP ISR_INT7 ; INT7 Hardware interrupt service routine 설정;---------------------------------- ... 점등하는 에제로, EVM 상의 SW2 스위치를 누르면 하드웨어 인터럽트가 발생하여 LED 1번을 500ms 동안 점등시킨다.- EICRB 레지스터 설정을 변경하여 INT7 에 대한
    리포트 | 5페이지 | 1,000원 | 등록일 2020.01.13
  • [A+ 보고서]마이크로 프로세서 텀프로젝트 보고서
    1. 회로도2. 프로그램 구성3. 세부설명4. 전체 코드5. 소감
    리포트 | 31페이지 | 1,500원 | 등록일 2019.09.20
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
9:35 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대