• 통큰쿠폰이벤트-통합
  • 통합검색(51)
  • 리포트(49)
  • 자기소개서(1)
  • 시험자료(1)

"7-segement" 검색결과 1-20 / 51건

  • [예비레포트] 숫자표시기(7-segement) 응용 (아두이노)
    예비레포트 숫자표시기(7-segement) 응용1. ... segement의 구동각각의 LED는 어느 정도의 전류(약 10mA)를 흘려 주어야만 빛을 발하게 된다. ... ->디지털 output 6번, h->디지털 output 7번(3) 입력된 전압 값을 소수점 첫째 자리에서 반올림한 후, 그 숫자를 숫자 표시기에 표시하는 프로그램을 작성한다.(4)
    리포트 | 5페이지 | 1,000원 | 등록일 2019.06.03
  • 기초전자회로실험_vending machine
    출력: 1↑입력 0 1 1 0을 가했을 때, 7segement 출력: 2↑입력 0 1 1 1을 가했을 때, 7segement 출력: 3거스름돈이 나올 수 있는 금액의 경우는 0원, ... 사진으로 캡쳐 하고 각 부분을 실험내용 1 에 제시된 회로도와 비교하여 설명↑입력 0 0 1 1을 가했을 때, 7segement 출력:0↑입력 0 1 0 1을 가했을 때, 7segement ... 또 잔돈이 생기면 잔돈이 얼마나 남았는지 7-segement LED를 통해 잔돈의 결과를 알려주는데, 100원의 잔돈이 생기면1, 200원의 잔돈이 생기면 2, 300원의 잔돈이 생기면3
    리포트 | 11페이지 | 2,000원 | 등록일 2020.12.20 | 수정일 2022.07.18
  • 성인간호학실습 응급실 Syncope and Collapse 대상자 응급간호 Concept map
    ST-segement depression(4mm)심음 청진상 잡음 (+), DRE (-), CRE (-), 옷이 젖을정도의 sweating 있음,mild dizziness 있음.Etiology관상동맥 ... Ischemic strokeDiagnostic studies? ABGA ? PaCO2 31.7mmHg PaO2 72.2mmHg ? HCO3- 19.2mEq/L SaO2 94%? ... 있었음.EKG 상 Lead II QRS complex 39mVEKG 상 V5, V6 ST-segement depression(4mm)심음 청진상 잡음 (+)Troponin kit
    리포트 | 8페이지 | 2,000원 | 등록일 2021.04.25
  • 국내에서 판매되고 있는 의류, 자동차, 전자제품중 한제품을 선정하여, 해당제품의 시장세분화, 표적시장선정, 포지셔닝을각단계별로설명하시오.
    시장세분화(segement), 표적시장설정(Targeting), 포지셔닝(Positioning)의 줄임 말이다.S 시장세분화 (Segement)시장세분화는 인구의 통계학적 기준에 의거하여 ... (segement)자동차 시장은 배기량에 따라 소형차, 준 중형차, 중형차, 준 대형차 , 대형차로 구분된다. ... 목 차 -1.
    리포트 | 7페이지 | 1,500원 | 등록일 2019.10.09
  • 폐렴 case study
    102/70mmHg로 홍조와 약간의 열감이 있고 머리가 아프다고 호소하여 ice bag apply 하였고 혈액 검사상 WBC 13.84 ▲Neutrophil segemented% 96.7 ... 대상자의 염증 수치를 주기적으로 사정한다.12/1412/1712/18WBC13.84▲19.25▲20.83▲Neutrophil segemented%96.7▲96.8▲97.6▲ESR>120 ... 입원시 v/s 37.4도-120회/분-24회/분-96/65mmHg-100% 측정되었다.
    리포트 | 17페이지 | 2,500원 | 등록일 2021.05.27
  • 마케팅원론_테슬라의 STP전략 분석
    단일 세분 시장 전략(Single-segement strategy)우선 Tesla의 경우 초창기 집중적 마케팅전략을 사용하였는데, 그 중에서도 단일세분 시장전략(single-segement ... 마지막으로 집중적 마케팅전략은 단일세분 시장전략(single-segment strategy)라고도 한다. ... Tesla의 CEO인 Elon Musk는 2020년 연봉 7조원을 기록하며 미국 기업 CEO 중 가장 높은 연봉을 받는 CEO로 기록되었다.
    리포트 | 8페이지 | 2,500원 | 등록일 2021.08.10 | 수정일 2022.05.15
  • 디지털 논리회로의 응용 가산기/비교기/멀티플렉서/디멀티플렉서
    이번 실험에서는 대표적인 디코더 중 하나인 BCD to 7-segement decoder에 대해 다루도록 한다. ... 그림 5와 같은 회로를 구성하고 입력에 따른 7-segment의 출력 상태를 기록한다. ... power supply실험 재료저항7404, 7408, 7420, 7432, 7447, 7483, 7485, 7486, 74139, 741517-segment실험 방법비교기비교기의
    리포트 | 10페이지 | 2,000원 | 등록일 2022.03.03
  • 서울시립대 전자전기설계2(전전설2) 8주차 사전보고서
    Dynamic 7-segment 컨트롤러 설계Dynamic-7segment는 제어되는 7-segement의 숫자가 늘어나서 동시에 늘어나는 I/O를 아끼기 위해 사용하는 데이터라인을 ... 실습 3 점을 포함한 8비트 신호의 7-segment 설계앞에서 작성한 7-segment 코드가 점을 제외한 코드였다면 현재 작성하는 8비트 신호의 7-segment는 숫자 뒤에 작게 ... 각 데이터에 Low 값을 전달하여 LED에 불이 들어오도록 설정한다.1. 7-segment decoder 설계7-segment 설계에서는 하나의 segment LED에 0에서 9까지
    리포트 | 13페이지 | 1,500원 | 등록일 2019.11.05
  • 서강대학교 21년도 디지털논리회로실험 프로젝트 3단계 보고서 (A+자료)
    IDLE은 RESET 버튼이 눌리면 구현되는 동작으로, 4digit-7segement display에 가로줄 4개가 display되며 모든 동작이 중단되는 상태이다. ... ControlUnit 모듈ControlUnit_RPS의 입출력 모듈ControlUnit_RPS 모듈은 lab7에서 state machine을 설계한 것과 마찬가지로 RPS_sm과 RPS_Irdec ... 우선 아래와 같이 Karnaugh map을 통해 3-bit짜리 신호를 8-bit으로 변환하였다.D(7) = D(6) = D(5) = D(4) = D(3) = D(2) = result
    리포트 | 26페이지 | 3,000원 | 등록일 2022.09.18
  • Pulmonary edema 케이스, 성인간호학 실습, MICU 실습 케이스(간호진단2개)
    anterior wall from mid-LV to apex s thinning severe hypokinesia of the other segement.심 수축능력의 심한 감소 ( ... GCS사정 : E(1-반응없음)-V(1-반응없음)-M(2-비정상적 신전 반응) = 4점? Mental status : semicoma-신체사정? ... /298/30pH7.35-7.457.074▼7.498▲PCO235-45 mmHg51.7▲35.0PO275~100mmHg63.8▼62.7▼HCO3-21~29mmol/L14.4▲26.9SaO295
    리포트 | 10페이지 | 1,500원 | 등록일 2022.04.21 | 수정일 2022.05.01
  • 외국계자기소개서- 2018 TexasInstrument Korea Sales Intern(기술영업인턴)
    이해하려면 그들의 입장에서 생각하여야겠다고 생각하였고, 설계자의 능력에 따라 회로를 간소화 할 수 있다는 점에서 흥미를 느꼈습니다.아날로그 및 디지털 회로설계실습을 통하여 논리표를 통해 7segement를 ... 텍사스인스트루먼트코리아 Technical Sales Engineer마감일 : 18-04-15 23:59*자소설닷컴 채용공고 첨부파일 참고Various Experiences* 인턴십,
    자기소개서 | 4페이지 | 3,000원 | 등록일 2020.06.01 | 수정일 2020.11.26
  • 성인간호 신경계ICU/NCU 실습 - 뇌경색 CI 대상자 케이스스터디 (진단 3개, 비효과적 기도청결, 성인욕창의 위험, 언어적 의사소통 장애)
    of severe anemiasMPV6.5~12.0fL7.ight proximal M2 segement.? ... -10-21, 수술 후 #POD 14 (11.04 기준)진단# Rt. proxial ICA[내경동맥] stenosis + distal MCA[중대뇌002:0003:0004:0005: ... severe stenosis at right P1-P2 junction area?
    리포트 | 29페이지 | 1,000원 | 등록일 2024.05.08
  • 성인간호학2 경장암 케이스 CASESTUDY 3 / 3 A+ 자료 입니다
    CT검사와 다른 종류의 조영제 주사를 이용하므로 CT검사에서 사용되는 조영제에 부작용l 들이 관찰됨.Right hepatic lobe에 약 0.9cm, left lateral segement에 ... 사진 - CT 대장조영술 영상7) 기타(1) 암 태아성 항원(CEA) 검사종양 표지자인 CEA는 태아시기에 정상적으로 만들어지는 일종의 당단백질로, 태어나기 전에 이 물질의 생산이 ... 간호진단에 따른 목표와 중재-18-#1. 음식섭취 부족과 관련된 영양부족#2. 수술과 관련된 불안#3. 수술과 관련된 통증6. 수술 전/후 간호중재-21-Ⅲ.
    리포트 | 24페이지 | 2,000원 | 등록일 2021.12.12
  • [A+자료, 교수님칭찬] Cesarean section, C/S(제왕절개술) case study(문헌고찰/사정/진단/계획/수행/평가), 간호과정2개, 간호진단6개, 급성통증, 감염위험성, 여성간호학실습 case
    때와 이러한 위협이 분만지연으로 인해 고조될 때, 질분만이 산부나 태아에게 위험이 있을 때 태아를 신속히 만출 시킬 수 있다.2) 특징(1) 유형제왕절개술은 자궁하부절개(low segement ... 폐렴, 담낭염, 급성 충수염, 임신 등이며 백혈병인 경우는 3만~5만개, 심하면 10만개 이상 증가RBC3.7-5.2×103/㎣4.053.10↓▼ 빈혈, 골수기능부전, 용혈성 빈혈, ... V/S은 121/84-103-18-36.6-98로 빈맥 나타났으나 안정되었다. 임신 중 갑상선기능저하증과 관련하여 synthyroxine tab 75㎍ 투여하였다.
    리포트 | 22페이지 | 2,500원 | 등록일 2021.05.15
  • 창업계획서 A+ 자료
    강릉의 지리적 특성상 겨울보다는 여름에 관광객들이 몰리기 때문에, 겨울에 매출이 감소할 수 있다.2) STP 전략 세분화 타게팅 포지션1. segementation소비자들의 욕구를 ... ▼2,37718.73%▲2,65911.86%▲1,813-31.82%▼건수1,5591,6254.23%▲1,500-7.69%▼1,75817.2%▲1,8686.26%▲1,359-27.25% ... 예상업종2019년09월2019년10월2019년11월2019년11월2020년01월2020년02월커피전문점/카페/다방지역액/건액/건증감률액/건증감률액/건증감률액/건증감률액/건증감률제1선택영역매출액2,0602,1735.49%▲2,002-7.87%
    리포트 | 31페이지 | 2,500원 | 등록일 2020.09.03 | 수정일 2022.04.16
  • 전주대학교 마케팅원론 기말고사 정리본
    시장세분화는 모든 고객들에게 똑같은 방법으로 마케팅하는(mass marketing)에서 각 세분시장의 욕구에 맞는 상품을 마케팅하는 것(segement marketing)으로 변화하였고 ... 8개7. 전략이란?전략이란 자원의 최적 배분을 통해서 경쟁우위를 창출하려고 하는 기업의 경영활동을 말한다. ... 이제는 고객 개개인을 위한 맞춤 상품을 개별적인 방법으로 마케팅하는(one-to-one marketing)으로 진화하였다.
    시험자료 | 6페이지 | 3,000원 | 등록일 2023.10.06
  • 04 논리회로설계실험 예비보고서(인코더,디코더)
    segment 진리표각 디코더의 출력(7 segement의 입력단자 a~g)에 대해 Karnaugh map을 이용한 간단화 과정을 거친 후 작성된 디코더의 회로는 다음과 같다. ... BCD to 7 segment 회로도3. ... BCD 입력에 의해서 표시장치를 동작시키기도 한다. 7 segment 표시장치(4) BCD to 7 segment Decoder4비트로 구성된 BCD 값을 입력으로 받아들여 7세그먼트
    리포트 | 9페이지 | 3,000원 | 등록일 2014.09.27 | 수정일 2021.04.15
  • VHDL코드를 이용한 Seven Segment구현 및 simulation
    VHDL실습 추석 레포트7-segement 디스플레이담당교수 :담당조교 :전자공학과세그먼트 표시 장치는 7개의 선분(획)으로 구성되어 있으며, 위와 아래에 사각형 모양으로 두 개의 ... , A3 : in std_logic; -- A0 ~A3 까지 입력 포트a, b, c, d, e, f, g : out std_logic); -- a ~ g 까지 출력 포트end seg_code ... 회로도3-4 소스코드와 설명library ieee; -- 라이브러리 지정use ieee.std_logic_1164.all;entity seg_code isport(A0, A1, A2
    리포트 | 5페이지 | 1,500원 | 등록일 2010.12.27
  • VHDL을 이용한 7-Segement Top 코드 및 시뮬레이션
    VHDL실습 4주차 레포트7-segement 최종 Top담당교수 :담당조교 :전자공학과세그먼트 표시 장치는 7개의 선분(획)으로 구성되어 있으며, 위와 아래에 사각형 모양으로 두 개의 ... _1164.all;entity ProcInput isport( A : in std_logic_vector (9 downto 0);Y : out std_logic_vector (3 downto ... 불이 들어오는 영역은 7개로 나뉘어지는데 각 숫자를 표현할 때 마다 7개의 전구가 켜졌다 꺼졌다 하게 되는 것이다.
    리포트 | 8페이지 | 2,000원 | 등록일 2010.12.27
  • [예비레포트] Mod-n 카운터
    가질 수 있고, 이를 이용하여 16 카운터를 설계 할 수 있다.7-segment : 7-Segment Display 는 Flexible Numeric Display (FND)로 그림 ... 그림 6.1은 7-Segment-Display 의 회로도이다. 7-segment 예상 진리표INPUTOUTPUTD0D1D2D3계산값7-SEGEMENT00*************00113010040101501106011171000810019101010101111110012110113111014111115실험회로 ... -segment Display에 연결하여 그 결과를 확인한다.
    리포트 | 8페이지 | 1,000원 | 등록일 2019.06.02
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 20일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
12:20 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대