• 통큰쿠폰이벤트-통합
  • 통합검색(271)
  • 리포트(268)
  • 논문(2)
  • 시험자료(1)

"bcd to 7 segment" 검색결과 1-20 / 271건

  • Vivado를 이용한 BCD to 7segment decoder의 구현 예비레포트
    Vivado를 이용한 BCD to 7segment decoder의 구현예비레포트1. 실험 제목1) Vivado를 이용한 BCD to 7segment decoder의 구현2. ... 실험 주제- 7segment와 ALU의 Symbol 및 동작원리를 이해한다.- 7segment를 동작 시키기 위한 BCD-to7segment의 동작원리를 이해하고 Verilog를 통하여 ... 구현하는 방법을 익힌다.- Verilog로 구현한 Adder를 FPGA보드의 7segment를 통하여 구현하는 방법을 익힌다.3 관련 이론1.
    리포트 | 4페이지 | 1,000원 | 등록일 2022.08.26 | 수정일 2022.08.29
  • 논리회로실험 첫번째 프로젝트 BCD to 7segment 가산기 결과
    논리회로설계실험 프로젝트 #1BCD to 7 segment 가산기1. ... 설계 목표BCD, BCD 덧셈, 7 segment에 대해 조사해보고, BCD to 7segment adder를 어떻게 구성할 수 있을까 고민해본다. ... ) BCD to 7 segment Decoder- BCD-to-7세그먼트 디코더는 디코더의 일종으로, 4비트로 구성된 BCD 값을 입력으로 받아들여 7 segment에 각 디스플레이가
    리포트 | 10페이지 | 1,500원 | 등록일 2021.10.01
  • 디지털 시스템 실험 기본적인Combinational Circuit(Decoder, Binary-to-BCD Convertor, BCD-to-7 segment Decoder) 결과보고서
    설계, BCD-to-7 segment Decoder 설계)실험목표2-to-4, 3-to-8 라인 디코더를 설계한다.Binary-to-BCD Convertor 설계한다.BCD 입력을 ... 7 segment로 출력하는 decoder를 설계한다.실험결과토의2to4 decoder 는 입력이 2개 출력이 4개이므로, 각각에 해당하는 변수를 정의한다. decoder에서 result1 ... 디지털 시스템 설계 및 실험 결과 보고서작성자:학번:실험조:실험일:실험제목기본적인Combinational Circuit(Decoder 설계, Binary-to-BCD Convertor
    리포트 | 5페이지 | 1,000원 | 등록일 2016.04.08
  • 디지털시스템실험, Verilog를 이용해 BCD to 7 segment를 통한 계산기 설계 및 구현, FPGA보드 결과 포함
    binary to BCD converter에 통과시켜 각각 2개의 4bit의 input을 BCD to 7-segment에 입력하여 7-segment controller를 통해 1의자리 ... BCD input에 대하여 7bit output이 나오는 BCD to 7segment의 code이다.4. ... 이에 해당하는 10진수 15가 표현되었다.토의이번 실험은 BCD to 7segment를 설계하고 FPGA 보드에 연결하여 작동해보는 실험이다.
    리포트 | 5페이지 | 2,000원 | 등록일 2015.12.05 | 수정일 2018.05.23
  • 서강대학교 디지털논리회로실험 - 실험 3. Decoders and Encoders 예비 보고서
    [그림 9]4) BCD-to-7-segment decoderBCD코드를 [그림 10]의 7-segment 출력에 적합한 코드로 변환하는 논리 회로이다. ... 사용 부품1) 74LS477-segment decoder의 기능을 하는 소자이다. 입력의 BCD코드를 7-segment 입력에 바로 인가할 수 있는 출력으로 변환한다. ... 이때, 330Ω의 저항을 중간에 연결해야 7-segment가 안정적으로 동작한다.[그림 13]진리표는 [그림 14]와 같다.
    리포트 | 11페이지 | 1,000원 | 등록일 2020.04.20 | 수정일 2020.04.24
  • 논리회로설계실험 BCD가산기 레포트
    BCD Adder to 7 segment 코드를 설계해야한다는 결과를 도출하였다. ... ) BCD to 7 segment Decoder앞에서 언급한 바와 같이 BCD는 10진수 숫자를 4비트 코드로, 7 segment는 10진수 숫자를 7비트 코드로 나타낼 수 있다. ... 이용해 segment 각각에 값을 넣는다.4) main문에서 BCD 가산기와 BCD to 7-segment를 불러온다.5) Simulation을 이용해 BCD 가산기를 검증한다.4
    리포트 | 14페이지 | 7,000원 | 등록일 2021.10.09
  • 디시털시스템실험 - BCD 컨버터, 7seg 회로, 7seg 순차 컨트롤러 결과보고서
    first(P3, P2, P1, P0, a1, b1, c1, d1, e1, f1, g1);//14// BCD_to_7segment second(P7, P6, P5, P4, a2, ... .//14// BCD_to_7segment 형 모듈 second를 선언하며 인자로 P7, P6, P5, P4, a2, b2, c2, d2, e2, f2, g2) 을 전달함.//15~ ... (Sum[3], Sum[2], Sum[1], Sum[0],//12//P9, P8, P7, P6, P5, P4, P3, P2, P1, P0);//13// BCD_to_7segment
    리포트 | 6페이지 | 1,500원 | 등록일 2020.11.14
  • 아주대학교 논리회로실험 / 8번 실험 Counter 에비보고서
    동조되는 알파벳을 7-segment의 데이터 시트를 같이 참조한다.2. ... 특정핀 (2, 3번 핀)을 GND에 연결시키는 초기화과정을 거치면서 결과를 확인한다.1. 7447 소자로 위와 같이 회로를 구성한다.2. 7 segment를 연결 할 때 데이터 시트에서 ... and Clear)논리 다이어그램진리표핀 구성함수 다이어그램IC 이름7490 (Decade and Binary Counters)논리 다이어그램핀 구성진리표IC 이름SN7447A (BCD-TO-SEVEN_SEGMENT
    리포트 | 5페이지 | 1,000원 | 등록일 2021.07.20
  • 서울시립대 전자전기설계2(전전설2) 8주차 사전보고서
    to bcd 알고리즘은 2진법 입력들을 2진화 된 10진법 표기로 나타내는 알고리즘이다. 0~9까지의 수를 2진법으로 표현할 수 있는 4비트 변수를 표현할 10진법 수의 자릿수의 ... 실습 5(binary to bcd conversion algorithm 조사)실습 5는 들어온 8비트 입력의 값을 음수를 포함하는 10진법 입력의 값으로 변환하여 출력하는 모듈이다.binary ... 실습 3 점을 포함한 8비트 신호의 7-segment 설계앞에서 작성한 7-segment 코드가 점을 제외한 코드였다면 현재 작성하는 8비트 신호의 7-segment는 숫자 뒤에 작게
    리포트 | 13페이지 | 1,500원 | 등록일 2019.11.05
  • 실습 12. stopwatch 설계_예비보고서_중앙대_아날로그및디지털설계실습
    연결 BCD 카운터 출력 4bit 을 BCD to 7-segment decoder(MC14511B)를 통해 7-segment 에 연결한다. ... 이때 Decoder 와 7-segment 사이에 저항(330Ω)을 달아 과전류를 방지한다. ... 또한 이론에 언급한 대로 decoder 의 출력 방식과 7-segment LED 의 type 간의 매칭에 유의한다.
    리포트 | 6페이지 | 1,000원 | 등록일 2021.08.13
  • 홍익대학교 전전 실험1 부호기 예비보고서
    이때 필요한세그멘트(segment)수는 7개 이다.BCD-to-seven segment 위치와 숫자모양BCD-to-seven Segment 모형도BCD-to-seven Segment ... 14 × 2 인코더 진리표논리 회로도2.3 십진 수치의 표시십진 수치의 표시를 위한 대표적인 것으로 BCD-to-7 segment 복호기와 수치 표시기를 들 수 있다. ... segment 디코더BCD-to-seven segment디코더는BCD로된10진수를입력하여 일곱개의 출력을 내보는 회로로 일반적으로 10진수를 디스플레이(Display)하기 위하여
    리포트 | 9페이지 | 2,000원 | 등록일 2020.12.25
  • 5주차 결과보고서 - 디지털 시스템 설계 및 실험 결과보고서
    BCD 입력을 7-segment로 출력하는 디지털 회로 설계3. Clock을 이용하여 7-Segment를 순차적으로 표현하는 Controller 구현4. ... BCD 각 4자리를 7-Segment로 출력하는 회로module svnseg(in,seg);input [3:0]in;output [6:0]seg;reg [6:0] seg;always ... 디지털 시스템 설계 및 실험 KEEE209 전기전자전파 공학부디지털 시스템 설계 및 실험 결과보고서이름 :학번 :실험제목7-segment실험목표1. 4bit binary 를 8bit
    리포트 | 3페이지 | 1,000원 | 등록일 2020.07.29
  • 7-세그먼트 표시기와 디코더 결과보고서A+
    10 – 2] 7segment의 2개의 타입 중 하나를 이용하여 0~7까지 표현 가능하도록 회로와 진리표를 완성하여라. ... [그림 10 - 1] 74138 decoder[그림 10 – 2] 7 segment애노드 공통형을 선택하여서 두개의 Vcc에 5V 전압을 주었다. ... 표는 BCD-to-7 세그먼트 디코더에서 입력에 대한 출력값을 나타낸 것이다.3.
    리포트 | 9페이지 | 1,000원 | 등록일 2020.03.05 | 수정일 2020.03.12
  • 서울시립대학교 전전설2 8주차 예비레포트(코딩 성공적, A+, 10점 만점 11점)
    Pre-Lab Report- Title: Lab#08 Peripherals(7-segment and Piezo Control)담당 교수담당 조교실 험 일학 번이 름목 차1. ... Purpose of this Lab이번 실험에서 Verilog HDL언어를 사용하여 7-segment, Piezo등 주변 디지털 장치 제어를 실험한다.나. ... Essential Backgrounds (Required theory) for this Lab1) Find binary to bcd conversion algorithm(double
    리포트 | 26페이지 | 2,000원 | 등록일 2020.07.22 | 수정일 2020.09.15
  • 서울시립대 전자전기설계2(전전설2) 8주차 결과보고서
    실습 3 점을 포함한 8비트 신호의 7-segment 설계앞에서 작성한 7-segment 코드가 점을 제외한 코드였다면 현재 작성하는 8비트 신호의 7-segment는 숫자 뒤에 작게 ... 실습 2 Piezo, 7-segment 설계‘도레미파솔라시도’의 8음계를 Piezo와 7-segment를 통해 출력하는 로직의 설계를 동시에 하는 것이 실습 2이다. ... to bcd 알고리즘은 2진법 입력들을 2진화 된 10진법 표기로 나타내는 알고리즘이다. 0~9까지의 수를 2진법으로 표현할 수 있는 4비트 변수를 표현할 10진법 수의 자릿수의
    리포트 | 15페이지 | 1,500원 | 등록일 2019.11.05
  • 홍익대_디지털논리회로실험_3주차 예비보고서_A+
    1을 입력해준다.1.4 BCD to 7-segment decoder 74477-segment 5161의 datasheet 를 확인하시오.BCD to 7-segment decoder ... .2.6 응용실험 (3)BCD to 7-segment decoder 7447 칩의 3번 다리에 0을 입력해주면 모든 LED에 불이 들어올 것이다.2.7 응용실험 (4)BCD to 7 ... 경우 ABCD의 입력 4개 값을 받아 LED 결과를 보여주는 것을 보아 BCD to 7-segment decoder 7447 칩의 기능과 7-segment 5161 칩의 기능이 모두
    리포트 | 6페이지 | 1,500원 | 등록일 2024.05.15
  • Term_Project_보고서_1조
    때문에 7447(BCD-to-7segment)을 이용하여 연산에서 사용된 2진수를 7segment에서 이용할 수 있도록 변환시켰다. ... 출력을 세븐 세그먼트의 입력으로 연결해주기 위해 bcd 코드를 7- segment 입력으로 넣어주는 기능을 하는 소자인 7447(Bcd to 7-segment)를 사용하여 정상적인 ... 그 다음 7447(BCD-to-7segment)을 사용하여 입력 값들을 바로 7segment에 보여줄 수 있게 설계하였다.Switch?ON?OFF?(a) 그림?0V (Low)?
    리포트 | 7페이지 | 1,000원 | 등록일 2023.06.22 | 수정일 2023.06.25
  • 아날로그 및 디지털 회로 설계실습 결과보고서12
    (B) (A)에서 생성된 Clock 신호를 BCD카운터(10진 카운터)에 연결 BCD카운터 출력 4bit을 BCD to 7-segment decoder(MC14511B)를 통해 7- ... 이때 Decoder와 7-segment사이에 저항(330Ω)을 달아 과전류를 방지한다. ... 또한 이론에 언급한 대로 decoder의 출력 방식과 7-segment LED의 type 간의 매칭에 유의한다.
    리포트 | 7페이지 | 1,000원 | 등록일 2024.07.05
  • 실험8 Decoder와 Encoder 결과보고서 A+ 레포트
    마지막 실험은 TTL IC 7447(BCD-to-7 segment decoder)을 사용하여 위와 같은 회로를 꾸미고 그 때의 진리표 를 작성 및 오실로스코프로 각 출력의 ... 먼저 실험 3)과 같이 TTL IC 7476 JK FF을 사용하여 이진계수기(4bit, 16modulus)를 만들었고 그 때의 출력을 TTL IC 7447(BCD-to-7 segment ... 7 segment의 출력을 확인해 본 결과 0에서부터 9까지 차례로 바뀌고 10이상의 숫자에서는 숫자가 이상하게 깨지며 나왔다.
    리포트 | 4페이지 | 2,000원 | 등록일 2023.11.15
  • 시립대 전전설2 A+ 8주차 예비레포트
    (시뮬레이션 및 장비 검증)입력: input [3:0] bcd -> 0000 ~ 1001 (Bus SW로 입력)출력: output [7:0] out -> single 7-segment ... 커지면 다시 0으로 초기화.reset이 1일 경우, cnt_scan의 값을 0으로 초기화.cnt_scan의 값이 0~7을 반복하여 8 array 7-segment의 각 위치를 빠른 ... BCD and BCD to Binary[Youtube] Shift Add 3 Method | Simple methohd for Binary to BCD conversion (Neso
    리포트 | 27페이지 | 2,000원 | 등록일 2024.09.08
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
11:03 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대