• 통큰쿠폰이벤트-통합
  • 통합검색(702)
  • 리포트(686)
  • 자기소개서(9)
  • 논문(4)
  • 시험자료(3)

"7-segment LED" 검색결과 1-20 / 702건

  • 7주차 Decoder / Encoder / 7-segment LED
    실험목적- Encoder, Decoder를 이해하고, 특성을 실험으로 익힌다.- 7-segment LED decoder를 이해하고, 특성을 실험으로 익힌다.실험과정1.4X2 encoder의 ... 회로를 구현하기 위해 Quartrus ll를 이용하여 회로를 구현 한 후 ModelSim값과 DE2-115에서의 동작을 확인한다.1) 회로구성사진위의 사진은 4x2 encoder의
    리포트 | 10페이지 | 1,000원 | 등록일 2014.10.12
  • led , 7-segment
    ( - )를 썼냐에따라 다음의 두가지 형태로 나뉜다.FND의 정식명칭은 7-segment LED, 7-segment display등이 있다. ... 랩뷰 이용한 led on되는 시간 측정7-segment세그먼트 방식의 숫자 표시 소자로서 최대 7개의 세그먼트로 숫자를 표시하는 방식. 7개 모두 통전하면 8의 숫자가 된다7-segment는 ... 보통 계측기, 전자시계 및 기다 제품의 숫자표시에 사용된다. 7-segmentLED를 여러 개 모아 놓은 것이므로 각각의 LED에 전류를 흘려줘야 불이 들어온다.
    리포트 | 6페이지 | 1,000원 | 등록일 2011.09.26
  • 논리회로실험) Decoder / Encoder / 7-segment LED
    예 비 보 고 서7주차실험6 : Decoder / Encoder / 7-segment LED1. ... , 7-segment LED decoder 의 특성을 알아보도록 한다.1 . ... - 7-segment-LED 란 숫자나 간단한 알파벳 등을 표현해주는 디스플레이이다.
    리포트 | 5페이지 | 2,000원 | 등록일 2014.01.06
  • LED, 7-segment 회로 실험 결과보고서
    실험 준비물 ① 7-segment - LED를 이용해서 숫자표시를 할 수 있도록 만들어진 소자이다.② 74LS48 - 7-segment 구동을 위하여 사용되는 장치로오른쪽 9~16 ... 준비물은 위에 작성된 7-segment, 74LS48, 브레드보드, NI-Devices 그리고 LabView를 사용할 수 있는 PC 정도이다.② 브레드 보드에 7-segment와 74LS48그리고 ... 접지에 각각 연결하였다.④ LabView 프로그램을 이용하여 7-segment에 원하는 숫자를 나타내게 할 수 있도록회로를 만든다.⑤ LabView의 실행으로 7-segment
    리포트 | 10페이지 | 3,000원 | 등록일 2010.12.15
  • 마이크로프로세서 응용 및 실험 [LED7-segment 점등]
    ) 이동▷ 2번 스위치 입력이 1번 있을 때 방향 전환◆ LED7-segment 점등▷ 1번 스위치 입력 ( Rising Edge ) 이 1번이 있을 때마다 LED7-segment ... 로의 출력선택▷ 2번 스위치 입력이 1번 있을 때 현재 상태에서- LED 출력이면 점등된 1개의 LED 이동 ( 반향 전환은 없으며, 입력이 있을 때 마다 한칸씩 이동 )- 7-segment ... 출력이면 표시 숫자 증가1.2 설계과제의 제한조건▷ 모든 스위치 입력처리는 인터럽트만 사용▷ 입력 : push switch – 2개▷ 출력 : LED – 8개 , 7-segment
    리포트 | 16페이지 | 10,000원 | 등록일 2012.03.31 | 수정일 2013.12.17
  • verilog code - (combo kit) 10진수 2자리수 곱셈, led, 7-segment, vfd로 출력
    7 - Segmentcombo-1 kit에 있는 7-segment display 갯수는 총 8개 이다.7-segmet는 한 display에 16진수 표현법으로 0부터 F까지 모두 표현할 ... 입력값을 기다린다.VFD는 입력값을 받고, 출력값을 나타내는 것은 7-segment와 동일한 방법으로 구현한다.7-segment와 다른점은 연산자와 등호 출력하는 것인데, 연산자는 ... 7-segment, VFD의 각각의 세부적인 출력 방법이 다르기 때문에 그 점을 유의하면서 코딩해야한다.?
    리포트 | 14페이지 | 2,000원 | 등록일 2014.04.25
  • verilog code - (combo kit) 10진수 2자리수 나눗셈, led, 7-segment, vfd로 출력
    7 - Segmentcombo-1 kit에 있는 7-segment display 갯수는 총 8개 이다.7-segmet는 한 display에 16진수 표현법으로 0부터 F까지 모두 표현할 ... 입력값을 기다린다.VFD는 입력값을 받고, 출력값을 나타내는 것은 7-segment와 동일한 방법으로 구현한다.7-segment와 다른점은 연산자와 등호 출력하는 것인데, 연산자는 ... 7-segment, VFD의 각각의 세부적인 출력 방법이 다르기 때문에 그 점을 유의하면서 코딩해야한다.?
    리포트 | 15페이지 | 2,000원 | 등록일 2014.04.25
  • 실험(1) final project-7447, 7 segment, 74390, led 등을 이용한 암호 제어
    여기서 7447은 BCD 코드를 7 segment에 들어가는 A~G의 신호로 바꿔주는 역할을 하는 디코더이다. 7447에서 나온 신호는 7 segment로 들어가서 74390에서 카운트 ... 된 숫자를 7 segment에 표시하게 된다.입력부 및 출력부의 작동을 간단화하면 다음과 같다.그림 57 segment도 다른 소자와 마찬가지로 바로 기판에 납땜하지 않고 소켓을 ... ENTER부 : LED CONTROL비밀번호가 맞으면 초록불이 들어와야 한다.
    리포트 | 7페이지 | 3,000원 | 등록일 2012.02.29
  • 실험(1) final project-7447, 7 segment, 74390, led 등을 이용한 암호 제어
    하지만 전체적으로 시스템을 reset 시키기 위해서는 위에서 말한 세 부분 모두 reset 되어야 한다.예비보고서그림 7 segment와 ENTER 카운터의 reset먼저 7 segment를 ... RESETRESET은 크게 세부분으로 나뉜다. 7 segment를 reset시키는 부분, ENTER 카운터를 reset 시키는 부분, LED를 끄기 위한 reset 부분이 있다. ... 주어진 조건에서는 reset시키면 7 segment에서 000이 표시되는 것을 말한다.
    리포트 | 6페이지 | 3,000원 | 등록일 2012.02.29
  • 마이크로프로세서 응용 및 실험 [LED 10단계 밝기 조절, 7-segment 표시 ]
    .. )◆ 2번 스위치 입력이 있을 때 7-segment 표시 선택ㆍ 시간(초) 표시, 밝기 표시 선택ㆍ 각 밝기 단계 또는 시간(초) 를 7-segment 에 표시◆ 3번 스위치 ... 제한조건▷ 모든 스위치 입력처리는 인터럽트만 사용▷ Timer interrupt 사용 – 정확히 시간 측정▷ 입력 : 스위치 3개▷ 출력 : LED 1개, 7-segment2. ... 본론3.1 LED 점등◆ 회로도이 과제를 위해 위 사진의 Push switch 3개와 LED , 7-Segment 가 사용된다.▷7-Segment 는 PORTA 1~7에 연결된다.
    리포트 | 14페이지 | 10,000원 | 등록일 2012.03.31 | 수정일 2013.12.17
  • verilog program 00부터99카운터(counter) 7-segments LEDs에 디스플레이(Display)
    (c,clr,{comA[3],comA[2],comA[1],comA[0]},{w0[3],w0[2],w0[1],w0[0]});decoder stage1({w0[3],w0[2],w0[1] ... clk, clr, comA, out);input clk, clr;output [3:0] comA;output [6:0] out;wire [3:0] w0;wire c;counter stage0 ... Out=7'b1001100; end5: begin Out=7'b0100100; end6: begin Out=7'b0100000; end7: begin Out=7'b0001101;
    리포트 | 3페이지 | 1,500원 | 등록일 2008.05.15
  • 중앙대 아날로그 및 디지털 회로 설계 실습 3학년 2학기 7-segment Decoder 회로 설계 과제 13주차
    7-segment LED에 허용전류 이상의 전류가 흐르게 되면 고장나기 때문에 저항을 연결하여 전류를 허용전류 이하로 낮춘다.3. 7-segment LED가 Common Anode ... , 7-segment LED의 3번핀과 8번핀은 어디에 연결하여야 하는가? ... 아날로그 및 디지털회로 설계 실습13주차 과제: 7-segment / Decoder 회로 설계1. 7-segment LED의 특성을 확인하였을 때, Common Cathode type이라면
    리포트 | 3페이지 | 1,000원 | 등록일 2021.06.28
  • 디지털시스템설계 7-segments 실습보고서
    실험목표FPGA 7-segments 구동 Design- 7-Segment LED Display 이해- 4-Digit의 7-Segment LED Display의 Rotate 동작의 이해 ... 실험 제목FPGA 7-segments 구동 Design2. ... 오른쪽 7-Segment에 숫자를 출력하는 코드이다.segment를 출력하는 코드이다.
    리포트 | 11페이지 | 2,000원 | 등록일 2022.10.28
  • 랩뷰를 활용한 7세그먼트 설게/랩뷰기초/lab view/7 segment/랩뷰코딩 설계/7세그먼트 설계/
    사진은 처음 코딩하면서 디자인했던, 7 segment의 모습이다. 꺼진 LED의 모습이 눈에 잘 보여서 내가 생각했던 7 segment의 느낌이 아니었다. ... 평소 7 segment에 대해 흥미를 느끼고 있었다. 7개의 LED로 10개의 숫자를 표현할 수 있으며, 몇 가지의 글자까지 표현해낼 수 있다는 사실이 흥미로웠다. ... 코딩설명1) 불리언을 사용한 7 segment불리언을 사용하여 7 segment의 모양을 디자인한다. 7 segment의 깔끔한 느낌을 위해 클래식 불리언의 ‘사각 빛’을 사용하였고
    리포트 | 7페이지 | 1,500원 | 등록일 2020.12.26 | 수정일 2021.01.22
  • [예비레포트] M3 숫자표시기(7-SEGMENT LED) 응용
    실험제목M3 숫자표시기(7-SEGMENT LED) 응용2. ... 일반적인 7-SEGMENT 가 나타낼 수 있는 모양 개수는 18개 이다.4. ... 이때 7개의 각 비트는 숫자표시기의 각 LED 하나씩 연결 되어 있다. 그래서 들어온 입력에 따라 숫자 표시기의 LED가 점등되는 방식 이다.
    리포트 | 3페이지 | 1,000원 | 등록일 2019.09.23
  • 충북대 디지털시스템설계 결과보고서5
    그리고 LED에서와 마찬가지로 clock_12MHz를 PLL24X2에 입력시켜 clock_24MHz로 변환시고 서브 모듈인 7-segment 구현 모듈을 불러온다.7-segment ... 해당하는 segment를 선택하고 숫자를 7-segment에 맞게 변환하는 서브모듈인 bin2seg를 불러와 4개의 7-segment들을 변환시킨다. ... 다음 always문에서는 4개의 7-segment 중에 하나를 선택하기 위한 counter를 설계한다.1sec counter를 통해 7-segment에 출력할 데이터를 계산한다. 4개의
    리포트 | 8페이지 | 1,500원 | 등록일 2022.02.12 | 수정일 2022.02.14
  • [A+]중앙대학교 아날로그및디지털회로설계실습 7-segment / Decoder 회로 설계 과제
    문제 17-Segment LED의 특성을 확인하였을 때 Common Cathode type이라면, 7-Segment LED의 3번핀과 8번핀은 어디에 연결하여야 하는가? ... 274LS47 Decoder의 출력과 7-Segment LED의 입력 사이에 저항을 연결하는 이유는 무엇인가? ... -> AnswerCommon-Cathode Type은 LED의 -극끼리 묶어 GND로 연결해주고 +극에 GND 또는 Vcc를 연결해 각 LED에 불이 들어올 지를 결정하는 방식이다.문제
    리포트 | 3페이지 | 1,000원 | 등록일 2021.09.02
  • [A+]아날로그및디지털회로설계실습 10장 결과보고서
    Decoder의 신호에서 7-segment LED사이에 있는 저항의 양단의 전압을 측정하였다.LED가켜졌을 때7-segment 이후 저항 이전 : 3.10V저항 이후 decoder ... 서론7segment LED는 숫자를 표시하는 7개의 LED와 소수점을 나타내는 1개의 LED로 구성되어 있으며 필요한 LED만 선택적으로 점등하여 원하는 숫자를 나타낸다. 7segment는 ... 이를 통해 7-segment LED가 anode common type임을 확인하였다.
    리포트 | 8페이지 | 1,000원 | 등록일 2024.02.18
  • 아날로그 및 디지털 회로 설계 실습 결과보고서10 7segment/decoder 회로설계
    아날로그 및 디지털 회로 설계 실습-실습10. 7-segment/Decoder회로설계-10-4 설계실습 내용 및 분석7-segment 특성 확인주어진 7-segment의 Type(Anode ... anode만 Vcc에 연결하면 점등된다는 것을 유추해 볼 수 있다.7-segment 구동 회로 설계설계실습계획서 10-3-3에서 그린 7-segment 구동 회로에 토글 스위치를 ... 이 실습에서 사용한 Decoder의 경우, binary입력을 받아 7-segment LED를 점등하기 위해 7개의 출력bit를 가지는 것을 알 수 있었다.
    리포트 | 10페이지 | 2,000원 | 등록일 2023.09.05 | 수정일 2023.10.24
  • 실습 10. 7-segment_Decoder 회로 설계
    또, 이들은 7-segment의 입력단자에 입력값으로 이용되어 LED를 통해 10진수 숫자를 표현하게 된다. 7-segment는 common cathode와 common anode ... : 보고서 제출날짜:)요약: 7-segment/Decoder 회로 설계를 통해 7-segment와 Decoder의 동작원리를 이해할 수 있었다. ... BCD-to-10진 디코더는 4개의 입력을 사용하고 16가지의 출력 중에서 10가지만 사용해서 BCD부호로 표시된 수치를 십진법으로변화시킨다.7-segment LED는 숫자를 표시하는
    리포트 | 6페이지 | 1,000원 | 등록일 2023.10.17
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
9:54 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대