• 파일시티 이벤트
  • 유니스터디 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(2,681)
  • 리포트(2,609)
  • 시험자료(52)
  • 논문(9)
  • 방송통신대(4)
  • 서식(3)
  • 자기소개서(3)
  • ppt테마(1)

"7seg" 검색결과 1-20 / 2,681건

  • 디시털시스템실험 - BCD 컨버터, 7seg 회로, 7seg 순차 컨트롤러 결과보고서
    ;//14// BCD_to_7segment second(P7, P6, P5, P4, a2, b2, c2, d2, e2, f2, g2);//15// SevenSeg_CTRL(nClk, ... .//14// BCD_to_7segment 형 모듈 second를 선언하며 인자로 P7, P6, P5, P4, a2, b2, c2, d2, e2, f2, g2) 을 전달함.//15~ ... BCD 입력을 7-segment로 출력하는 디지털 회로 설계3. Clock을 이용하여 7-Segment를 순차적으로 표현하는 Controller 구현4.
    리포트 | 6페이지 | 1,500원 | 등록일 2020.11.14
  • [디지털 공학 실험] 7-seg로 디지털 시계 만들기 보고서
    초 00 00 00)- RESET 단자를 이용하여 모든 표시가 (시/분/초 00 00 00)으로 표시된다.- 원하는 시간을 setting 할 수 있는 기능을 포함해야한다.- 위의 기능 ... 실험 목적7조제출일자팀원이름(학번)설계 목표● 한 학기 동안 배운 디지털 공학 실험 이론을 통하여 디지털 시계를 설계한다.- SET 단자를 누를 시 시계의 동작 기능을 한다(시/분/ ... 발진회로 오류 지적.7-Segment와 7447 연결 부분 구현, 요일 및 오전오후 표시부 구현, 발표 대본 작성, 소자별 특성 정리 및 조사, 회로 검토 및 선정리.
    리포트 | 12페이지 | 2,000원 | 등록일 2020.01.01
  • Evaluator-7T를 이용한 7-seg와 LED 제어
    목 10 조전자공학실험 2(#3, Evaluator-7T를 이용한 7-seg와 LED 제어)#목 10조 7-seg와 LED 제어1.TitleEvaluator-7T를 이용한 7-seg와 ... LED 제어2.Name3.AbstractEvaluator-7T를 이용하는 첫 번째 실험으로 S3C4510B microcontroller에 대해 이해하고, 7-seg와 LED를 사용하여 ... - Rm상수값만큼 LSL - Rm, LSL #shift_imm레지스터값만큼 LSL - Rm, LSL Rs상수값만큼 LSR - Rm, LSR #shift_imm레지스터값만큼 LSR
    리포트 | 35페이지 | 3,000원 | 등록일 2010.10.17
  • 디지털시스템설계 7-segments 실습보고서
    seg_data를 8비트 출력(아웃풋) 데이터로 선언한다.입력된 데이터를 7-Segment에 맞게 10진수로 변환하는 코드이다.(3) 7-Segment 제어 모듈Segment 제어 ... 이 코드로 7-Segment를 제어한다.2) FND decorder source모듈의 이름을 bin2seg로 설정하고, bin_data를 4비트 2진수인 입력(인풋) 데이터로 선언, ... FND_COM, seg0 ~ seg3 중 하나의 값이 변하면 always문을 실행하게 되는데, 이 때 FND_COM은 cnt4의 값에 의해 해당하는 segment를 선택한다.FND_COM의
    리포트 | 11페이지 | 2,000원 | 등록일 2022.10.28
  • 5주차 결과보고서 - 디지털 시스템 설계 및 실험 결과보고서
    BCD 각 4자리를 7-Segment로 출력하는 회로module svnseg(in,seg);input [3:0]in;output [6:0]seg;reg [6:0] seg;always ... @ (in) begincase(in)0: begin seg ... BCD 입력을 7-segment로 출력하는 디지털 회로 설계3. Clock을 이용하여 7-Segment를 순차적으로 표현하는 Controller 구현4.
    리포트 | 3페이지 | 1,000원 | 등록일 2020.07.29
  • 충북대 디지털시스템설계 결과보고서5
    해당하는 segment를 선택하고 숫자를 7-segment에 맞게 변환하는 서브모듈인 bin2seg를 불러와 4개의 7-segment들을 변환시킨다. ... 그리고 앞에서 cnt4에 따라 선택된 segment에 표시될 숫자를 정의한다.FND decoder codebin2seg 모듈은 입력된 10진 숫자들을 7-segment 출력에 맞게 ... 다음 always문에서는 4개의 7-segment 중에 하나를 선택하기 위한 counter를 설계한다.1sec counter를 통해 7-segment에 출력할 데이터를 계산한다. 4개의
    리포트 | 8페이지 | 1,500원 | 등록일 2022.02.12 | 수정일 2022.02.14
  • [디지털 논리] AND, Not Gate를 이용한 4×10 Decoder을 이용하여 7-Segment 표시 Code 구현 과제 (소스 및 실행화면 포함)
    문제개요AND, Not Gate를 이용한 4×10 Decoder을 이용하여, 7-Segment 표시 Code 구현VHDL로 작성한 소스1) AND, Not Gate를 이용한 4×10 ... issignal s_and1, s_and2 : std_logic;begins_and1 ... in3, in4 : in std_logic;out1 : out std_logic);end ud_and4;--declare and2architecture sample of ud_and4
    리포트 | 6페이지 | 1,000원 | 등록일 2020.07.07
  • 건국대학교 전기전자기초설계및소프트웨어실습 12주차 레포트 A+
    , seg_data[FND2][5]);digitalWrite(pinG, seg_data[FND2][6]);digitalWrite(pinDP, seg_data[FND2][7]);delay ... , seg_data[FND3][5]);digitalWrite(pinG, seg_data[FND3][6]);digitalWrite(pinDP, seg_data[FND3][7]);delay ... (2);/*현 7-segment의 출력 값 serial 모니터에 출력*/Serial.print(FND3);Serial.print(FND2);Serial.print(FND1);Serial.println
    리포트 | 11페이지 | 7,000원 | 등록일 2024.04.14 | 수정일 2024.04.22
  • NCLEX 요약정리 (+NGN 포함) - 성인간호 visual,auditory
    high) 휘파람 소리들리면 불륨 낮추기cleaned with alcohol wipes or a soft cloth, 물에 담그면 손상될 수 있음착용하는 동안 High heat (eg ... , hair dryers, heat lamps) 피하기침대 옆 탁자 위 상자 보관사용하지 않을 때 배터리 제거2-7. ... Treat > antibiotics2-7.- Tachycardia and elevated blood pressure, 통증 심한 경우 > 통증 먼저 해결 후 improve vital
    리포트 | 8페이지 | 4,000원 | 등록일 2024.02.20 | 수정일 2024.02.22
  • ELS coversation Q&A
    I’m the second child in my family.7. What does your mother/father like? ... What’s his/her name? What are their names?eg; Yes, I do. ... Do your parents let you stay out late?eg; Of course not.
    리포트 | 54페이지 | 2,000원 | 등록일 2023.03.20 | 수정일 2023.10.13
  • Applied English Phonology (AEP) 3-4장 요약
    nasally released로 처리되지 않음)eg) cattle, middle, bud light, at last7) alveolar stops are realized as dental ... / 앞에서 eg) special, voiced stops 앞에서 eg) bed, dead. ... :]이 나타남4.7 Full Vowels-Reduced Vowels(1) [?]를 제외한 나머지 모음들은 강세음절이 올 수 있으나, 그것들이 비강세 음절에 오면 [?]
    시험자료 | 12페이지 | 3,500원 | 등록일 2023.07.21
  • NCLEX 요약정리 (+NGN 포함) - 성인간호 neurologic
    1. postictal state 발작 후 상태- Lamotrigine > 다른 항경련제 (eg, valproate) 에 비해 선천성 기형 발생 낮아서 childbearing 가임기에 ... 처방갑자기 중단 후 Preseizure auras (eg, blurred vision, tinnitus 이명, nausea) and sudden loss of consciousness ... , Butalbital-acetaminophen-caffeineEpilepsy 뇌전증 tinnitus 귀울림 childbearing 출산, 분만2-7.- immediate follow-upCranial
    리포트 | 18페이지 | 7,000원 | 등록일 2024.02.20 | 수정일 2024.02.26
  • 최소한의 IC칩을 사용해 7-segment 구동 실험
    몇몇 숫자(0, 6, 7, 9)는 둘 이상의 다른 방법으로 표시할 수 있다.7세그먼트 표시장치의 각 획은 위의 사진처럼 각각 A부터 G까지의 이름으로 불린다. ... A부터 G까지 7개, DP 1개, 총 8개의 LED가 내장되어 있다.7-Segment는 동작하는 방식에 따라 Anode와 Cathode Type으로 나누어진다. ... (출력은 되지만 정상적인 숫자가 아니다.)7-Segment를 최소한의 게이트로 작동시키려면 7-Segment의 진리표를 작성하고 출력이 1이 아닌 0으로 묶고 SOP 식으로 나타내고
    리포트 | 31페이지 | 2,500원 | 등록일 2020.11.15 | 수정일 2022.04.23
  • Lower-spine KE STUDY
    S-I jt. : sacrum cranialproneco-nut.7. S-I jt. : ilium medialside8. S-I jt. : ASIS medialsupine9. ... ribs test : ventralventral lateral cranialproneTh’ seg. test (F,E)sideTh’ seg. test T-testsideTh’ seg ... R test (F,E)sitLumbar seg.
    리포트 | 1페이지 | 1,000원 | 등록일 2021.11.02 | 수정일 2021.11.04
  • (A+, 3-1 컴퓨터, HTML웹프로그래밍) 주어진 HTML 요소(태그)에 대해서 다음 조건이 만족되도록 정리하시오.
    PAGEREF _qi8ufj2434ws \h 5 Hyperlink \l "_5y27yf4eg7z8" Section 1 PAGEREF _5y27yf4eg7z8 \h 5 Hyperlink ... 본론 PAGEREF _arolcxe0i15c \h 2 Hyperlink \l "_q7vvuuc0kfov" 1. ... key=index.html-공하는 데 사용합니다.Article 1Lorem ipsum dolor sit amet, consectetur adipiscing elit.
    방송통신대 | 22페이지 | 5,000원 | 등록일 2023.01.23 | 수정일 2023.02.02
  • FPGA [ ROM & RAM ]
    => seg_decode := "0100100";코드에서 "0000000001"의 의미가 첫 번째 스위치를 점등한 값을 의미하는데 이 값이 7세그먼트로 숫자‘0’이기 때문에 DE2보드에 ... 파형에 대한 토의"0000000001" => seg_decode := "1000000";"0000000010" => seg_decode := "1111001";"0000000100" ... 결과가 seg_decode := "1111001" 이므로 DE2보드에 숫자 ‘5’가 점등되었고 여덟번째 스위치 on시킨 결과가 seg_decode := "0100100" 이므로 DE2보드에
    리포트 | 10페이지 | 1,500원 | 등록일 2020.10.22 | 수정일 2021.04.15
  • Upper-spine KE STUDY
    )pro(elbow)반대측 EG mob'facet FG C7-T1sit&sideC6-7 mob' G(uni)sitC5-6 mob' G(uni)sitC2-5 mob'sitSymptom ... R-testC-traction, C7찾기1st Rib Tx.sitvertebral a.sit/supV/ Test passive(C2-3)supineSide mob'(seg)supineSub ... occipital→C tractionsupineC-spine seg R-test, T-testsideC-spine seg co V (uni)sideC-spine seg co V (
    시험자료 | 1페이지 | 1,500원 | 등록일 2021.11.02
  • Applied English Phonology 6-7장 요약 (임용고사 영어음운론 필독서)
    sonority level will rise (eg: play, cry, quick)그 경향에 대해 예외는: s + stop clusters (Special ‘adjunct’ status ... 영어 compound 중 거의 90퍼센트예)adjective + noun (eg. white house)verb sy ( ... 경우 강세 변화에 igade, jamboree, grotesque, brunette, bursitis 등과 혼동하지 말 것)7.5.2 Stress-neural suffixes강세
    시험자료 | 22페이지 | 4,000원 | 등록일 2023.07.21
  • (완전 세세한 정리, 끝판왕) 시립대 전전설2 8주차 Lab08 결과 레포트 7-segment and Piezo Control, 전자전기컴퓨터설계실험2,
    본 토의에서는 실험4의 코딩에 대해서 설명하고자 한다.총 4개의 7segment의 자리 수를 나타내는 변수 seg_com 선언각각의 7segment에 나타나는 변수 seg_data ... seg_com, seg_data를 설정해준다.Load일땐 up혹은 down중이던 카운터가 멈추고 원하는 값을 불러올 수 있도록 설정한다. ... , tens, ones)을 segment에 나타날 수 있도록 seg_data에 입력code실험5) 8-bit 2’s complement signed binary 입력을 받아서 이를
    리포트 | 24페이지 | 2,000원 | 등록일 2020.07.28 | 수정일 2020.09.24
  • VHDL코드를 이용한 4비트 감가산기 구현
    in std_logic;seg1, seg2 : out std_logic_vector(6 downto 0);sign : out std_logic;sum : out result_range ... res값을 16으로 나눈 몫을 seg5 그 나머지를 seg6 LED에 출력하도록 한다.⑦ over값과 under값이 둘다 0일 경우 res 값을 sum에 입력하여 그 sum을 LED3 ... std_logic;signal seg1Reg, seg2Reg : integer range 0 to 15;beginprocess(sw, a, b, over, under)variable
    리포트 | 8페이지 | 1,000원 | 등록일 2020.05.19
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 05일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:12 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대