• 통큰쿠폰이벤트-통합
  • 통합검색(76)
  • 리포트(76)

"8진 up-Down counter" 검색결과 1-20 / 76건

  • 디지털회로실험 동기식 카운터, 비동기식 카운터
    Up/Down 10진 counter를 구성한다.2. ... - 그림과 같이 회로를 결선한다.- 7490칩의 14번 핀에 클럭펄스를 입력한 후 7-segment로 결과를 관찰한다.실험 3) Presettable Up/Down 10진 counter ... 800downarrow(9)900downarrow(10)0실험 3) Presettable Up/Down 10진 counter표 16-2 측정 결과구 분데이터 입력CK7-segment
    리포트 | 7페이지 | 2,000원 | 등록일 2023.10.24
  • VHDL 실습 (D-FF, JK-FF, 8-bit counter) 예비
    VHDL 실습(D-FF, JK-FF, 8-bit counter) 예비보고서가. ... PR과 CLR에 따른 출력 Q와 Q'의 동작을 그림 4-6(c)에 기능표로 나타내었다.다. 8bit-Counter8비트 카운터는 8비트의 정보들로 이루어진 신호가 어떠한 입력에 따라 ... 상태를 256개 모두 나타내기는 어려우므로 간단한 예인 8비트 동기식 2진 카운터를 보면 입력신호 U='H' 일 때 8비트의 2진수가 현재상태보다 1만큼 커진 2진수가 되고, D='
    리포트 | 5페이지 | 1,000원 | 등록일 2021.01.06
  • 시립대 전전설2 A+ 6주차 예비레포트
    연산자를 이용하여 쉽게 모델링이 가능함Ex) 8-bit Up CounterActive-low 비동기(asynchronous) 리셋을 가지는 8비트 up-counter의 모듈 설계11 ... 4’b0000으로 초기화mode 신호(Bus SW8): mode=1: up counting, mode = 0 : down countingload 기능 (동기식) : 4비트 입력 데이터 ... SW1Q[3] ~ Q[0]: LED1 ~ 45) 실습 5다음의 특징을 가지는 4-bit up_down counter를 설계하고 시뮬레이션(적당한 입력 시퀀스 사용) 후 장비 동작 확인하시오.CLK은
    리포트 | 30페이지 | 2,000원 | 등록일 2024.09.08
  • [A+보고서] 회로실험 카운터 회로 예비보고서
    Up / Down counter(1) 상향 비동기식 카운터- 4비트 2진 상향 카운터 : 16진(mod-16) 카운터- 각 플립플롭은 클록펄스의 하강엣지에서 변화한다. ... , 1101, ‥‥, 0000 후 다시 1111으로 돌아오는 구조이다.(3) 상향/하향 비동기식 카운터- Up/Down 카운터는 입력단에 count up과 count down에 선택적인 ... -Q _{A}에서는 입력 클록 주파수가 1/2Q _{B} 에서는 1/4,Q _{C} 에서는 1/8,Q _{D}에서는 1/16 의 주파수를 갖는 구형파가 얻어진다.- 4개의 플립플롭
    리포트 | 8페이지 | 1,500원 | 등록일 2022.12.24
  • [A+] 중앙대학교 아날로그및디지털회로설계실습 12차 예비보고서
    BCD counter 74HC192가 UP 카운터로 동작하기 위해 UP(CPU)에 clock, DOWN(CPD)에 VDC 5V를 인가하였다. ... LOAD 단자의 경우 카운터의 정상 동작을 위해 High에 연결하였다.BCD counter 74HC192의 QA, QB, QC, QD 출력을 BCD Decoder MC14511B 칩의 ... Minute Segment는 분침으로써 0~9분까지 나타낼 수 있는 10진 카운터이다.이전 3-2의 2자리 숫자 표시 경우처럼 ‘Second_1 에 연결된 74HC192의 CO 단자
    리포트 | 6페이지 | 1,000원 | 등록일 2024.02.17
  • 8주차-실험19 예비 - 카운터 회로
    출력이 3, 6, 9일 때, 출력이 siren=1이 되는 회로를 설계하시오.⇒ Mod-10 counter를 만들고서 3, 6, 9를 만족하는 (0011, 0110, 1001)을 AND로 ... 8진 비동기식 up카운터를 D플립플롭을 이용하여 설계하라.⇒ UP Counter란 최댓값이 되기 전까지는 Up으로 카운터가 되고, 마지막에는 Carry가 발생하면서 출력 값은 모두 ... 회로를 변경하여 Mod-8의 회로를 그려라.⇒ Mod-10회로에서는 각각의 클리어에 각자리수의 조합이 10( 1010 )이 되는 값을 넣었을 때 0000으로 set이 되게 하였다.
    리포트 | 14페이지 | 1,500원 | 등록일 2020.10.02 | 수정일 2022.10.16
  • 기초전자회로실험 - 비동기,동기 카운터 예비레포트
    Down-counter에서 수(상태)를 BA순으로 읽을 때, 00 -> 11 -> 10 ? ... 위의 그림에서, Up-counter에서 수(상태)를 BA순으로 읽을 때, 00 -> 01 -> 10 ?> 11 -> 00으로 루프를 형성하면서 증가함을 알 수 있다. ... , Q를 선택 시 up이 되고, Q’를 선택 시 down이 된다.
    리포트 | 11페이지 | 2,000원 | 등록일 2021.02.27
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab06(결과) / 2021년도(대면) / A+
    ) : mode=1: up, mode=0: down- load 기능(동기식): 입력 4비트(Bus SW1~4), 로드 버튼: Button SW1Source codeTestbentchPin ... 확인하는 모습(6) [실습 6] 다음의 특징을 가지는 4-bit counter를 설계하고 시뮬레이션 후 장비 동작을 확인하시오.- CLK은 1Hz 입력- 출력 : LED 4개 (module ... testbench 시뮬레이션 결과 설계한 4-bit counter의 동작을 확인하는 모습(7) [실습 7] 카운터의 개념을 이용하여 메인 클럭 1MHz 신호를 받아서 100kHz마다
    리포트 | 18페이지 | 2,000원 | 등록일 2022.07.16
  • 서울시립대 전자전기설계2(전전설2) 8주차 결과보고서
    실습 1 4-bit up counter의 출력 값 single FND 표시위는 4비트 업카운터의 코드이다.4비트 업카운터를 구성하기위한 변수 선언을 하였으며, 이전에 작성한 적이 있는 ... up, down 상태를 설정할 수 있는 mode변수가 있는 4비트 카운터의 코드를 사용하였다.변수 mode를 통해 up, down 상태를 정할 수 있고, 변수 en을 통해 카운터의 ... 기본 숙지 사항0) 7-Segment Decoder숫자나 문자를 표시해주는 장치, 8개의 LED로 구성되어 있으며, 각각의 LED에 불이 들어왔을 때의 상태에 따라 다양한 문자 표시가
    리포트 | 15페이지 | 1,500원 | 등록일 2019.11.05
  • 예비보고서(4) 카운터 counter
    구체적으로, 카운트-업 (count-up), 카운트-다운 (count-down), 십진, 리플 캐리 (ripple carry), 모듈러스 (modulus) N 카운터 등 각종 비동기식 ... 그러니까 UP/DOWN이 1일 때 Q의 값에 따라 CLK에 들어가는 값이 정해짐으로 카운트-업 카운터이다.이와 반대로 UP/DOWN이 0일 때에는 오른쪽 AND게이트에 0이 입력되므로 ... 관련이론카운터(counter)는 플립플롭을 이용하여 계수 동작을 하도록 만든 것이다.
    리포트 | 11페이지 | 2,000원 | 등록일 2020.10.14
  • 실험8. Counter 예비보고서
    (그림은 A 4-bit synchronous counter using JK flip-flops입니다.)그리고 우측과 같이 연결된 카운터는 2단 2진 카운터인데 출력의 개수에 맞추어 ... 플립플롭을 사용하는 경우 최하위 Bit부터 채워지는 2진counter를 기본 바탕으로 하며 비동기식 카운터와 동기식 카운터로 나눌 수 있다.비동기식 카운터의 경우에는 플립플롭의 출력을 ... 즉 비동기식 counter이며 두 개의 J-K Flip Flop가 있으므로 2단 이라는 말이 붙을 것을 알아챌 수 있다.이 때 각각의 출력 값을 And Gate로 연결하여 Counting이
    리포트 | 8페이지 | 1,000원 | 등록일 2017.12.07
  • [기초전자회로실험2] "Asynchronous Counter / Design of Synchronous Counters" 예비보고서
    D flip-flop으로 Ripple 카운터를 만들 경우는 D입력과 Q_bar출력을 연결해 토글 상태가 됨을 이용한다.Ripple up/down counter using D flip ... 15Ripple up counter using J-K flip flop- J-K flip flop를 toogle 상태로 만들어준다. ... (J=K=1)- 하강 에지에서 트리거를 갖는다. 즉, 하강 에지에서 변화한다.Ripple down counter using J-K flip flop?Qd?Qc?Qb?Qa10진수?
    리포트 | 7페이지 | 1,500원 | 등록일 2019.03.25 | 수정일 2019.03.29
  • 디지털실험 - 실험 15. UpDown 카운터 예비
    Mod-8 Up / Down 카운터 이며, Up/Down이 1로 셋팅되어 있을 경우 Up counter로 동작하며 제품의 생산 개수를 증가 시킬 수 있고, 0으로 셋팅되어 있을 경우 ... 또한 Up/Down counter는 A/D(Analog-to-Digital conversion)에 많이 사용된다.4개의 플립플롭 2진 카운터는 16상태에서 0000, 0001, 0010 ... 실험 가능한 회로를 구성하라.-> 클럭펄스 입력의 에지 사용으로 동기식 Up/ Down counter 동작이 이루어지므로, count-up과 count-down 으로 제품의 생산 개수를
    리포트 | 13페이지 | 1,500원 | 등록일 2017.04.02
  • [기초전자회로실험2] FPGA Board를 이용한 FSM 회로의 구현 예비보고서
    (J=K=1)- 하강 에지에서 트리거를 갖는다. 즉, 하강 에지에서 변화한다.Ripple down counter using J-K flip flop?Qd?Qc?Qb?Qa10진수? ... 15Ripple up counter using J-K flip flop- J-K flip flop를 toogle 상태로 만들어준다. ... BCD 카운터- 펄스,사건 등을 계수하고, 그 결과를 10진 숫자 형태로 나타냄?Qd?Qc?Qb?Qa10진수?0?0?00?0??0?0?01?1??0?0?10?2??0?0?113?
    리포트 | 7페이지 | 1,000원 | 등록일 2019.03.27 | 수정일 2019.04.01
  • 아주대학교 논리회로실험 설계 에비보고서
    남은 숫자를 up/down-counting’을 가능하게 해준다. ... Up/Down Counter 설계]? 실험 목적- FPGA를 이용하여 Up ? Down Counter를 설계한다.? 작동 원리 설명1. ... 좌 하단 입력단자로 Clock signal을 최초로 받는다. 5진 counter를 만들기 위해 7490의 출력 QA를 입력 Clock B로 바로 연결한다. 10진 counter를 만들기
    리포트 | 6페이지 | 1,500원 | 등록일 2016.06.16
  • 아주대 논리회로실험 설계 프로젝트 결과보고서
    좌 하단 입력단자로 Clock signal을 최초로 받는다. 5진 counter를 만들기 위해 7490의 출력 QA를 입력 Clock B로 바로 연결한다. 10진 counter를 만들기 ... [Clock Dividing Part] : 높은 클럭을 초단위로 사용할 수 있게 만들어줌2진 counter와 5진 counter를 내장한 IC인 7490을 사용하여 지나치게 높은 5Mhz ... 남은 숫자를 up/down-counting’을 가능하게 해준다.
    리포트 | 8페이지 | 2,000원 | 등록일 2016.06.16
  • 실험8-카운터-예비레포트
    비동기 카운터는 J-K 플립플롭 또는 T 플립플롭을 사용하여 구성하고 카운터는 상향 카운터(up counter)와 하향 카운터(down counter)가 있다.비동기식 이진 카운터[ ... ][ 비동기식 십진 카운터 출력 ]동기식 가감산(up/down) 카운터동기식 가감산 카운터는 각 플립플롭의 클럭 신호 입력이 외부로부터 동시에 병렬로 제공된다.[ J-K 플립플롭을 ... 비동기 카운터는 리플(ripple) 카운터라고도 부르고 카운터에서 구별되는 상태의 수가 m일 때 modulo- m(간단히 mod- m; m 진)의 카운터이다.
    리포트 | 13페이지 | 1,000원 | 등록일 2017.03.07
  • 동기카운터 예비
    실험 방법(1) 6-mode gray code synchronous counter를 설계한다.(2) 각각의 출력 파형을 관측하고 비교한다.6. 피스파이스1) 동기 카운터7. ... 또한 디코드된 출력은 규칙적이지 않은 시퀀스를 계수하는 카운터 개발에도 유용하다.한 칩에 동기, 비동기, up/down 계수, 병렬 적재, 디스플레이 드라이버 등의 기능을 갖춘 많은 ... 논리 분석기는 연속적으로 각 채널의 입력 데이터를 디지털 정보 0과 1로 바꾸어 디지털 기억장치에 저장한다.
    리포트 | 6페이지 | 1,000원 | 등록일 2019.03.26
  • 디지털실험 15예비 up/down counter
    또한 Up/Down counter는 A/D(Analog-to-Digital conversion)에 많이 사용된다.4개의 플립플롭 2진 카운터는 16상태에서 0000, 0001, 0010 ... 디지털실험 예비보고서실험 15. up/down counter실험 목적증계수, 감계수 및 증/감계수 논리를 이해한다.이론증가 2진 카운터 sequence에서는 내부의 상태를 변화하는 ... 책은 반대로 되어있다. 2진 리플 카운터(a) Up count sequence (b) Down count sequence아래 그림에서처럼 Up/Down 카운터는 입력단에 count
    리포트 | 8페이지 | 1,000원 | 등록일 2014.09.30
  • 아주대학교 논리회로 실험 설계 예비보고서
    (기본의 심화 과제인 start/stop의 기능과 up-down counting 대신에 start/stop과 lap/reset의 기능을 부여한다)- 입력 : start/stop, rap ... CLKA는 5Mhz Clock과 바로 연결한다. 10진 counter를 7442 Decoder와 연결하였다.※7-segment FND507의 Datasheet3번과 8번 단자는 Common ... 이를 위해 7490의 입/출력 단자를 10진 counter, 혹은 6진 counter의 역할을 할 수 있도록 핀을 배치했다.
    리포트 | 10페이지 | 2,000원 | 등록일 2016.06.14
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
6:49 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대