• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(333)
  • 리포트(313)
  • 시험자료(14)
  • 자기소개서(3)
  • 방송통신대(3)

"8x1mux" 검색결과 1-20 / 333건

  • VHDL을 이용한 8X1MUX, 1X8DEMUX 실험보고서
    1. 8x1 MUX - 8X1 MUX 설계 EX) I 입력은 Bus switch로 S 입력은 Button Switch로 Y 는 LED로entity MUX_LSI ... (0 to 7); --BIT VETER 이라고 쓸 경우에는 S : in STD_LOGIC_VECTOR(0 to 2); --when others 안써도 됨 0과 1만 ... 인식 가능함 Y : out STD_LOGIC);end MUX_LSI
    리포트 | 10페이지 | 2,000원 | 등록일 2011.06.22
  • Vhdl을 이용한 8x1 MUX 설계
    그림과 같은 8x1 MUX를 설계하기 위해 우선적으로 2x1 MUX를 설계한다. ... 또한 추가적인 조건으로 8x1 MUX가 총 3개의 셀렉트 단자(각 2x1 MUX 별로 3개씩이 아님!!) ... 1. Purpose2x1 MUX 7개를 사용하여 총 3개의 셀렉트 단자를 갖는 8x1 MUX를 설계하고 입력에 따른 MUX의 동작 특성을 이해할 수 있다.2.
    리포트 | 6페이지 | 2,000원 | 등록일 2009.11.12
  • [asic] 8X1멀티플렉서(mux)
    Behavioral of Mux8x1 isbeginprocess(a, b, c, d, e, f, g, h, sel)beginif sel = "000" theny ... (2 downto 0);sel : in std_logic_vector(2 downto 0);y : out std_logic_vector(2 downto 0));end Mux8x1;architecture ... PROGRAMLibrary ieee;use ieee.std_logic_1164.all;entity Mux8x1 isport (a, b, c, d, e, f, g, h : in std_logic_vector
    리포트 | 2페이지 | 1,000원 | 등록일 2003.03.28
  • 시립대 전전설2 Velilog 결과리포트 5주차
    이번 설계에서는 3X8 디코더와 2:1MUX에 대해 알아보도록 한다.2. ... 조합에 대해서 M개의 출력 단자 중 1개만 High값이 출력되고, 나머지 출력단자에서는 Low값이 출력된다.그중 3x8 디코더는 3개의 입력선과 8개의 출력선을 갖는 디코더를 의미한다.CBAO7O6O5O4O3O2O1O00000 ... 그 외에 casex는 case 수식의 모든 x와 z값을 dc(don't care)로 다룬다.38Decoder는 4:1 Mux는 입력이 4개이고 출력이 하나인 회로가 되어야 하므로 Select의
    리포트 | 16페이지 | 1,000원 | 등록일 2021.04.16
  • 한양대 MUX & DEMUX
    또한, 74LS157 소자와 7-segment를 사용해 4bit Multiplexer의 output을 확인해본다. 74LS155 소자를 이용해 1X4 DEMUX 와 1X8 DEMUX의 ... 관련 이론먼저, 다중화기 : Multiplexer (MUX)란 다수의 정보 장치를 소수의 채널이나 선을 통해 전송하는 회로이다. ... Chapter 1. 실험 목적Multiplexer와 Demultiplexer의 원리를 이해한다.
    리포트 | 4페이지 | 2,000원 | 등록일 2023.03.21
  • VHDL_2_MUX,DEMUX,비교기,ALU,Hamming code
    실습제목: 8X1 MUX1. ... 이때 Sel과 Input의 관계는 다양하게 정의할 수 있지만, 간단하게 2진수인 Sel의 크기순으로 Input을 배정했다.MUX_8X1use.work.my_package.all로 전에 ... 주제 배경 이론멀티플렉서는 여러 개의 입력을 받고 Selcet 선에 신호를 인가하여 어느 신호를 보낼 것인지 선택할 수 있다. 8X1 MUX의 진리표는 다음과 같이 작성할 수 있다.입력선택출력I7I6I5I4I3I2I1I0S2S1S0YX000I0001I1010I2011I3100I4101I5110I6111I72
    리포트 | 35페이지 | 2,000원 | 등록일 2021.09.23 | 수정일 2022.04.04
  • [부산대학교][전기공학과][어드벤처디자인] 8장 Multiplexer, Decoder 및 Encoder (8주차 결과보고서) A+
    구현된 4:1 MUX를 사용하여 다음의 논리함수를 실현하고 그 동작을 실험으로 확인하라.74x151 은 8:1 MUX이다. ... 이를 응용하는 능력을 기르는 것이 이 실험의 목적이다.4 to 1 MUX와 1 to 4 DEMUX의 회로를 구성하고 동작을 이해한다.3 to 8 MUX와 8 to 3 DEMUX의 ... 실현된 2:4 디코더를 사용하여 아래의 함수를 실현하고 그 동작 상태를 점검하라.74x138은 출력이 active low 상태인 3:8 디코더이다. 그 동작을 점검하라.
    리포트 | 10페이지 | 1,000원 | 등록일 2021.04.25
  • u Processor 설계
    선택 입력의 개수를 N이라고 하면 입력 데이터의 개수는 개의 관계를 가진다.이번 설계 실습에선 4x1 MUX, 2x1 MUX를 사용하는데 4x1 MUX는 Register 1~4로부터 ... ALU 로 데이터를 전달하기 위하여 사용하고 2x1 MUX는 Program Memory와 ALU 연산결과를 Data Bus에 올려놓기 위해 사용한다.코드 및 설명2x1 MUX4x1 ... MUX출력 결과2x1 MUX4x1 MUXu_Processor 결과고찰이번 설계 실습을 통해 간단한 u_Processor 를 만들어 봄으로써 이전의 컴퓨터 구조론이라는 강의에서 배웠던
    리포트 | 18페이지 | 2,000원 | 등록일 2020.10.05
  • (완전 세세한 정리, 끝판왕) 시립대 전전설2 5주차 Lab05 결과 레포트 Combinational Logic 2, 전자전기컴퓨터설계실험2,
    primitive이용한4:2 Encodertest benchsimulationpin2)case문 combo box 작동결과0001 – 000010-010100-101000-11(3) 3x8 ... 이제 S의 값과 D0, D1의 값에 따라 두 입력 중 하나의 입력이 출력되는 것이다.1bit mux2bit mux2bit mux의 논리도를 구현하면 다음과 같다. 2bit mux는 ... 하지만 이렇게 수정해도 오류가 떴고 결과적으로는 아예 배제해야 함을 알 수 있었다.2) 2bit mux2bit mux의 진리표와 논리회로에 대해서 생각해보고자 한다.2bit mux
    리포트 | 22페이지 | 2,000원 | 등록일 2020.07.27 | 수정일 2020.09.24
  • (완전 세세한 정리, 끝판왕) 시립대 전전설2 5주차 Lab05 예비 레포트 Combinational Logic 2, 전자전기컴퓨터설계실험2,
    a[0]입력 값을 사용하지 않으므로 불필요하여 오류가 난 것 같다.2)case문Gate primitive이용한4:2 Encodertest benchsimulationpin(3) 3x8 ... 다음은 2:1 mux의 진리표와 논리회로이다.Mux의 Karnaugh Map을 이용한 최적화하면 다음과 같다Y=S’*D0 + S*D1D1D0s*************10011Demuxdemux는 ... 다음은 4 to 2 인코더의 진리표와 논리회로이다.4:2 Encoder의 Karnaugh Map을 이용한 최적화하면 다음과 같다.Y=D2+D3 X=D2+D3D1D301001110D1D301001110Decoder디코더는
    리포트 | 19페이지 | 2,000원 | 등록일 2020.07.27 | 수정일 2020.09.24
  • 디지털 회로 실험-MUX와 DMUX
    디지털 회로실험실험8. MUX와 DMUX1. 목적-MUX와 DMUX의 동작원리를 이해한다.-MUX와 DMUX의 특성을 확인한다.2. ... 실험1은 4x1MUX로 S, A, B의 값에 따라 어떤 입력이 출력되는 가를 선택하는 것이라고 볼 수 있다. 입력된 4개의 값 중 하나를 선택해 출력한다. ... 실험순서실험순서1. 4x1 MUX회로의 선택입력(S, A, B)에 해당 신호(1 또는 0)을 넣었을 때 출력(F)에는 어떤 입력(I0~I3)이 전송되겠는지 예상해서 표를 작성하시오.실험순서2
    리포트 | 11페이지 | 2,000원 | 등록일 2022.09.10
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab05(예비) / 2021년도(대면) / A+
    S=0 이고 E=1 일 때는 B이며 나머지 경우에는 Z이다.- 2X1 multiplexer(MUX)의 형태이다.4. ... [실습 4] 3X8 디코더를 if와 else if문을 사용하여 디자인 하시오.Source codeTestbenche. ... 가지의 입력신호들로부터 N비트의 출력신호를 만듬.(3) Decoder- N비트의 바이너리 값을 2^N가지의 신호 중의 하나로 출력하는 로직- Encoder의 반대 로직임.(4) 3X8
    리포트 | 13페이지 | 2,000원 | 등록일 2022.07.16
  • Mux&Decoder2차레포트 디지털회로설계
    , 총 8개의 LED가 내장 되어 있다.제 2장 각각의 schematic디자인, VHDL디자인1비트 2x1 Mux Schematic , VHDL-Schmatic-VHDL시뮬레이션 결과SEL이 ... 이론 설명제 2장 각각의 schematic디자인, VHDL디자인(1) 1비트 2x1 Mux Schematic , VHDL(2) 2비트 2x1 Mux Schematic , VHDL(3 ... 설계하고 DE2 보드로 작동하기제 3장 요약 및 결론레포터의 목적(1) 1비트 2x1 Mux Schematic , VHDL(2) 2비트 2x1 Mux Schematic , VHDL
    리포트 | 15페이지 | 2,000원 | 등록일 2022.01.05
  • [서울시립대] A+ 전자전기컴퓨터설계2(Bcd converter 코드포함) 5주차예비레포트
    출력됨.3x8 디코더- 3개의 입력선과 8개의 출력선을 갖는 디코더① 3x8 디코더 로직 설계② 3x8 디코더 Test Fixture 파일 생성③ 3x8 디코더 시뮬레이션 결과 확인2 ... simulation=> 실습을 위한 PIN번호 설정● 4 : 1 MUX 회로를 설계하시오입력 A, B, C, D : 버튼 스위치 1, 2, 3, 4입력 S : BUS Switch ... 멀티플렉서 로직 설계② 2입력 멀티플렉서 Test Fixture 파일 생성③ 2입력 멀티플렉서 시뮬레이션 결과 확인응용 과제(총3문항)● 2비트 2 : 1 MUX 회로를 설계하시오입력
    리포트 | 14페이지 | 1,000원 | 등록일 2021.12.30
  • 부산대 어드벤처디자인 결과보고서 8주차 A+보고서 1등보고서
    데이터 입력, 제어입력, 데이터 출력을 가진다.4x1 MUX를 예시로 보면 논리회로와 진리표는 다음과 같다. ... 어드벤처 디자인결과보고서 8실험 제목 : Multiplexer, Decoder, Encoder1. ... [그림 1] MUX 진리표[그림 2] MUX 논리회로Encoder란 2^n개의 입력과 n개의 출력 조합으로 구성되어 있는 논리회로이다.
    리포트 | 5페이지 | 1,500원 | 등록일 2022.03.24
  • 디시설, 디지털시스템설계 실습과제 4주차 인하대
    4 to 1 MUX그림 SEQ 그림 \* ARABIC 1 : 모듈구현 결과그림 SEQ 그림 \* ARABIC 2 : wave form8 to 1 MUX그림 SEQ 그림 \* ARABIC ... Waveform을 살펴보면 각 s1, s0의 경우마다 i3 ~ i0이 정확하게 선택되는 것을 볼 수 있다.8 to 1 MUX도 마찬가지로 3항 연산자를 사용해서 코드를 작성했다. 4선택 ... : wave form고찰4 to 1 MUX 부터 살펴보자.
    리포트 | 5페이지 | 1,500원 | 등록일 2021.08.31
  • 디지털논리회로실험(Verilog HDL) - Switches, Lights, Multiplexors
    실험PART Ⅰ : 8-bit 2-to-1 mux? 실험목적 : 8-bit 2-to-1 mux를 구현한다.? ... 실험내용Input : s(1bit) ,x(8-bit), y(8-bit)Output : m(8-bit)if s = 0 : m = xif s = 1 : m = y#실험과정1) Create ... N-bit MuxEx) Two 4-bit inputs, A(a3,a2,a1,a0), and B(b3,b2,b1,b0)-> 4-bit 2x1 mux (just four 2x1 muxes
    리포트 | 6페이지 | 1,000원 | 등록일 2019.08.29
  • [예비레포트] 멀티플렉서를 이용한 조합논리
    =디코더한 개의 입력이 여러 개의 출력 중 하나로 연결된다.1-to-4DMUX4-to-1 MUX4x1 MUX : Y= S1 ’S0 ’I 0+S1 ’S0 I 1+S1S0 ’I 2 +S1S0 ... I 38-to-1 MUX는 4-1MUX2개와 2-1MUX로 만들어지거나2-1MUX4개와4-1MUX1개로 만들어 질 수 있다.8-TO-1 MUX는 3개의 SELECT BIT를 가진다MUX를 ... 다른 방법은 8-to-1 MUX 하나를 사용하는 것이다. 진리표를 나타내었다.
    리포트 | 4페이지 | 1,000원 | 등록일 2019.07.22
  • 디지털논리회로참고그림
    I 14 I 15 8X1 MUX S 0 S 1 S 2 2X1 MUX S 3 FD 0 D 1 D 2 D 3 D 4 D 5 D 6 D 7 2 2 2 2 1 0 x y z f{nameOfApplication ... 0 Y 1 Y 2 Y 3x y i D BI 0 I 1 I 2 I 3 I 4 I 5 I 6 I 7 8X1 MUX S 0 S 1 S 2 I 8 I 9 I 10 I 11 I 12 I 13 ... NOT AND AND BDDA B C F D v w x y zv w x y z f00 01 11 10 0 1 1 1 1 1 X Y i 00 01 11 10 00 01 1 1 1 1
    리포트 | 16페이지 | 1,000원 | 등록일 2022.10.10
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab05(결과) / 2021년도(대면) / A+
    (4) [실습 4] 3X8 디코더를 if와 else if문을 사용하여 디자인 하시오.Source codeTestbenchPin testbench 시뮬레이션 결과 설계한 3:8 Decoder의 ... 가지의 입력신호들로부터 N비트의 출력신호를 만듬.(3) Decoder- N비트의 바이너리 값을 2^N가지의 신호 중의 하나로 출력하는 로직- Encoder의 반대 로직임.(4) 3X8 ... Decoder- 3개의 입력선과 8개의 출력선을 갖는 디코더(5) MUX(Multiplexer)- N개의 입력 데이터 중 하나를 선택하고, 선택된 자료를 하나의 출력 채널에 전송하는
    리포트 | 19페이지 | 2,000원 | 등록일 2022.07.16
  • 레이어 팝업
  • 프레시홍 - 특가
  • 프레시홍 - 특가
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 07월 18일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
1:30 오후
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기