• LF몰 이벤트
  • 유니스터디 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(1,310)
  • 리포트(1,215)
  • 시험자료(70)
  • 논문(11)
  • 서식(6)
  • 자기소개서(4)
  • 방송통신대(3)
  • 노하우(1)

"C5-dip" 검색결과 1-20 / 1,310건

  • 청각자격특강 정리
    소음노출의 초기에 발생하는 고음역의 청력손실이 특히 4kHz를 중심으로 한 C5-dip형으로 나타난다(코르티 기관의 고음 감수부위 특히 4kHz부근에 물리적 에너지가 쌓이는 것과 혈관 ... 소음노출이 중단되었을 때 소음노출의 결과로 인한 청력손실이 진행XC5-dip의 병인1. ... 측정- 와우의 보존상태 확인- 메니에르명 진단과 효과적 치료의 평가에 적용- 돌발성 난청의 예후 판정ABR- 소리자극 후 5ms에서 약 10내지 15ms까지의 반응- 두정부, 유양돌기
    시험자료 | 4페이지 | 1,500원 | 등록일 2022.08.26
  • 웨이트 트레이닝 종류
    leg raise)(4) 딥 머신 레그 레이즈(Dip machine leg raise)(5) 리버스 크런치(Reverse crunch)4. ... 인클라인 컬(Seated incline curl)(4) 케이블 컬(cable curl)(5) 덤벨 프리쳐 컬(Dumbbell preacher curl)7. ... 웨이트트레이닝 부위별 운동방법 - 삼두근(1) 내로우 그립 벤치 프레스(Narrow Grip Bench Bress)(2) 딥스(Dips)(3) 벤치 딥스(Bench Dips)(4)
    리포트 | 16페이지 | 3,000원 | 등록일 2020.12.04
  • 산업위생관리기사 필기 04.물리적 유해인자 관리 기출문제 유형별 모음 (2015년~2020년)
    소음의 생리적 영향으로 볼 수 없는 이온화 방사선 [베타선, 감마선, 중성자, X-선], 비이온화 방사선 [가시광선(VR), 자외선(UV), 적외선(IR), 라디오파]UV-C : ... ① 5분 작업, 55분 휴식 ② 15분 작업, 45분 휴식 ③ 30분 작업, 30분 휴식 ④ 45분 작업, 15분 휴식* 경작업 ~ 200kcal/h, 중등작업 200 ~ 350kcal ... ① Lambert ② 럭스(Lux) ③ 촉광(candle) ④ 후트캔들(Foot candle)63.
    시험자료 | 31페이지 | 3,000원 | 등록일 2021.02.02
  • 임베디드 시스템 레포트
    DIP(Dual Inline Package) and SOIC(small-outline IC): DIP 와 SOIC 는 IC 패키지의 일종이다.1) DIP- 단자방향이 두 방향이며 실장형은 ... clock cycle 동안 여러 번 변경될 수 있다.- SR Latch, T Latch, JK Latch 등등이 있다.2) Flip-flop ... Loading Factor이라고도 하며 출력이 안정적으로 구동할 수 있는 최대의 표준 로직 입력 수를 의미하며 예를 들어 Fan-out=5 라고 하면 한 개의 로직 게이트로 5개의
    리포트 | 5페이지 | 1,000원 | 등록일 2020.11.02
  • 의료기기 EMC 적합성 선언서 작성 양식 (영문)
    hospital environment.Voltage dips, shortinterruptionsIEC 61000-4-1195% dip in Uт)for 0.5cycle40% Uт(60% ... dip in Uт )for 5 cycle70% Uт(30% dip in Uт)for 25 cycle ... 61000-4-5AC Mains (Line to Line): ± (0.5, 1) kV(Line to Earth): ± (0.5, 1,2) kVAC Mains (Line to Line
    서식 | 5페이지 | 1,000원 | 등록일 2022.03.21
  • 척수손상환자평가지,SCI PATIENT EVALUATION,OCCUPATIONAL THETAPY DEPARTMENT
    -80Abduction cm.Opposition cm.INDEX FINGERMP flexion0-90PIP flexion-extension0-100DIP flexion-extension0 ... ExtensionExt. digitorum communisC7-8DIP FlexionFlex.digitorim profundusC7-T1PIP FlexionFlex. digit. ... deltoidC5-6Horiz. add.Pectoralis majorC5-T1External rotator groupC5-6Internal rotator groupC5-T1ELBOWFlexionbiceps
    서식 | 6페이지 | 1,000원 | 등록일 2019.10.17
  • [예방약학실험5] H&E staining
    (5 dip)- 100% EtOH for 5 sec (5 dip)- 100% EtOH for 5 sec (5 dip)- Xylene I for 3 min- Xylene II for ... Dehydration and Clearing- 70% EtOH for 5 sec (5 dip)- 80% EtOH for 5 sec (5 dip)- 90% EtOH for 5 sec ... 3 min- Xylene III for 3 min(5) Mounting- Mounting solution을 slide glass 위에 한 방울 떨어뜨리고 cover glass를 덮어서
    리포트 | 4페이지 | 2,000원 | 등록일 2023.06.21
  • 서강대학교 디지털논리회로실험 - 실험 5. Arithmetic comparator, Adder and ALU 결과 보고서
    디지털논리회로실험결과 보고서[5주차]실험 5. Arithmetic comparator, Adder and ALU1. ... 이때, c0, x0, y0의 값은 각각 DIP_SW[0], DIP_SW[1], DIP_SW[2]를 통해 조절하였으며 출력의 s0, c1은 각각 LED[0], LED[1]을 통해 확인하였다 ... 시뮬레이션 결과는 [그림 5]와 같다.[그림 4][그림 5]실제 구현 회로는 [그림 6]과 같다.
    리포트 | 8페이지 | 1,000원 | 등록일 2020.04.20 | 수정일 2020.04.24
  • Term_Project_보고서_1조
    +5V (High)?2)덧셈5비트의 입력을 받아 덧셈 기능을 하는 회로를 구현하였다. ... 처음 회로를 설계 하였을 때는 두 번째 일의자리 bcd adder 중 두 번째 full adder에서 나온 c4가 십의자리 c0에 들어가게 설계를 하였지만 8+8이나 9+9는 보상회로를 ... Simulation 분석 자료 (Pspice)[회로도]예) 7+5=12시뮬레이션 결과: 100104. 제작 결과>5.
    리포트 | 7페이지 | 1,000원 | 등록일 2023.06.22 | 수정일 2023.06.25
  • 붕대와 부목 적용
    splint only the DIP joint Application/positioning - splint DIP joint iuries to scaphoid, lunate, thumb ... just below buttocks crease on dorsal aspect of leg to 5-8cm above the malleoli Application/positioning ... (10-20°) - PIP and DIP joints 10-15° of flexion - 50° of flexion of MCP joints - if Boxer’s fracture
    리포트 | 33페이지 | 2,500원 | 등록일 2024.02.13
  • 자율 이동 로봇 제작
    -4Dip Switch 1P1-5Dip Switch 2P1-6Dip Switch 전원P2-6,7Sensor Output센 서 부바닥 인식부 Limit Switch물체 감지부 초음파센서바닥 ... GAL 16V8 5개의 8비트 I/O포트포트별 특성I/O포트단자입출력 특성사용내용P0-0,1,2,3INPUTLimitP0-4,5INPUTSensor InputP1-0,1,2,3Quasi-BidirectionalMotorP1 ... Current : 30~50mA Frequency : 40kHz Range : 3cm~3mSRF04 Timing Diagram구 동 부구 성 모터 : KDG-3657 4개 모터드라이버
    리포트 | 23페이지 | 1,500원 | 등록일 2024.04.11
  • 화학실험기법2 Exp2. Nanofabrication by Polymer Self-Assembly
    이를 통해 오랜 시간 dipping할수록 Au NP가 BCP film에 더 잘 deposited되고 array를 형성한다고 결론 내릴 수 있다.5. ... 이때 spin-coating method를 이용하였고 Au solution에 dipping한 시간에 따라 Au NP가 얼마나 배열이 되고 만들어졌는지를 확인할 수 있었다. 30분 dipping ... arrays를 포함하는 film으로 재구축될 수 있다.두번째로, 그림5와 같이 특성 고분자만 crosslinking을 하게 만드는 방식으로 BCP film의 일부를 제거하여 mesoporous
    리포트 | 12페이지 | 3,000원 | 등록일 2022.08.22
  • 전전설2 실험 1 예비보고서
    [실습 1]Dip 스위치와 LED를 이용하여 breadboard에 아래의 회로를 구현하고 +5V와 0V 신호를 생성하고 이를 확인 한다. ... - LEDred 1개, green 1개- SW2-pole DIP스위치 2개 (다리가 긴 것)- 전선(두꺼운 것), Nipper2) 실험 내용[실습 0] : Combo 박스Combo박스의 ... C = xy + xz + yz[2-5] 디지털 로직의 조합회로와 순차회로에 대하여 차이점을 조사하고 여러 가지 예를 들어 설명하시오.정보를 저장하는 state를 가지느냐의 차이를 가진다.조합회로
    리포트 | 8페이지 | 1,000원 | 등록일 2023.11.17
  • IB Extended Essay Biology Impact of postharvest treatment on apple
    To control those variables, 5g of apples and 25cm3 of distilled water were used when making apple extract ... How do calcium chloride dip treatments of apples in different concentrations of calcium chloride influence ... result of dipping apples in calcium chloride solution with different concentrations.
    리포트 | 30페이지 | 10,000원 | 등록일 2023.04.12
  • 시추공 영상촬영보고서
    )이 되며, 분석된 불연속면의 Sine curve 폭과 검층공경으로부터 불연속면의 경사각(Dip angle)을 결정한다.Dip~=~tan^{-1}~ {2h over R}~~~,~~~ ... ~~Dip~direction~=~φ2h : Sine curve의 진폭, R : 시추공의 직경,φ : Sine curve의 Minimum point에서의 방위각 시추공 영상촬영 자료의 ... 지자기 북극을 기준으로 정렬된 영상단면으로부터 불연속면을 분석하고, 분석된 불연속면의 Sine curve에서 Minimum point에서의 방향이 불연속면의 경사방향(Dip direction
    리포트 | 14페이지 | 2,500원 | 등록일 2022.01.27
  • 서강대학교 디지털논리회로실험 - 실험 3. Decoders and Encoders 결과 보고서
    이때, 퀴즈 3번 문제에서는 common cathode 방식의 7-segment를 가정하였으나 실험 키트의 7-segment는 common anode 방식이므로 퀴즈 문제의 진리표를 ... 과정 1-A ~ 1-C에서 각기 다른 방법으로 [표 1]의 진리표를 만족하는 논리 회로를 구현해 보았는데, 같은 논리 기능을 하는 등가 회로라도 구현 방법에 따라 cost와 complexity가 ... 이때, 입력의I _{ 1,I _{ 0는 각각 DIP_SW[1], DIP_SW[0]를 이용하 여 조정하였으며 출력은 키트의 7-segment를 통해 확인하였다.
    리포트 | 10페이지 | 1,000원 | 등록일 2020.04.20 | 수정일 2020.04.24
  • 객체지향 프로그래밍 족보
    솔티드맵솔티드맵 -> 트리맵따라서, 3번 5번 틀림9. ... (x.length() - y.length())스윙의 이벤트 핸들러 구현이 DIP 설계원칙과 어떤 관계인지DIP 정의: 의존성 역전 원칙, 모든 모듈이 추상화에 의존해야 한다.이벤트 ... Public class 상시근무자 implements statusCheck{@Overridepublic void 출석(){…}}클래스 구조 보고 DIP 설계원칙 해석DIP 정의: 의존성
    리포트 | 9페이지 | 1,000원 | 등록일 2024.02.17
  • 8장 손
    ~ 5th C.M. jointMovement of the CM jtLigament around C.M. jointC.M. joint of Thumb saddle jt.Abduction ... DIP jt.: distal interphangeal jt.Arch of the HandLongitudinal arch capitate ~ between 2nd, 3rd MP jt. ... of the 1st C.M. jt.Flexion of the 1st C.M. jt.Opposition of the 1st C.M. jt.Abduction + flexion medial
    리포트 | 43페이지 | 1,500원 | 등록일 2020.09.23 | 수정일 2020.09.24
  • Verilog UpDown Game 프로젝트 결과 보고서
    DIP Switch5,6은 각각 Player1,2의 리셋 버튼이다.② DIP Switch로 자리값을 지정한 후, Push Button으로 값을 입력한다.③ RAM1에 Player1의 ... 정답, RAM2에 Player2의 답을 저장한다.④ Player2의 답이 정답보다 크면 UP, 작으면 DOWN, 맞으면 SUCCESS를 LCD로 출력한다.⑤ 1Digit-Segment ... 설계 방식① DIP Switch1은 Player1의 십의 자리, DIP Switch2는 Player1의 일의 자리, DIP Switch3은 Player2의 십의 자리, DIP Switch4는
    리포트 | 8페이지 | 1,500원 | 등록일 2020.04.23
  • 서강대학교 디지털논리회로실험 레포트 5주차
    그림 19의 진리표STEP13) 표 4와 표 5를 비교하여 파악한 half-add_SW4와 함께 XOR gate에서 연산을 하는데 DIP_SW4가 0의 값을 가지므로 DIP_SW2의 ... 따라서 이는 2-bit full-adder로 볼 수 있을 것이다.DIP_SW4가 1일 때는 B가 역시 DIP_SW4와 XOR gate에서 연산을 하며 들어가는데, DIP_SW4가 1이므로 ... 뒤쪽 Full-adder에서도 B1은 DIP_SW4와 XOR gate에서 연산을 하는데 DIP_SW4가 0이므로 B1의 값이 그대로 들어가게 되고, A1은 DIP_SW1과 그대로 연결되어
    리포트 | 25페이지 | 1,000원 | 등록일 2020.08.12 | 수정일 2020.08.26
AI 챗봇
2024년 09월 03일 화요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
6:07 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대