• 통큰쿠폰이벤트-통합
  • 통합검색(708)
  • 리포트(549)
  • 자기소개서(150)
  • 논문(2)
  • 서식(2)
  • 시험자료(2)
  • 방송통신대(2)
  • 이력서(1)

"FPGA 설계" 검색결과 1-20 / 708건

  • VHDL를 이용한 FPGA설계
    작년 말 비트코인 광풍으로 한국은 몸살을 앓았다.학생 직장인 너나 할거 없이 대부분 뛰어들었으며 빨리 시작한 사람들은 돈을 땄겠지만 그렇지 못하고 돈을 잃은 사람도 훨신 많기에 이러한 도박성을 가진 가상화폐의 경각심을 알리기위해 제작하게 되었다.
    리포트 | 16페이지 | 2,000원 | 등록일 2021.09.26
  • FPGA구조와 ASIC 설계 방법 실험 레포트
    흐름에서 FPGA 응용 개발자는 설계과정을 거치며 여러 단계에서 설계를 시뮬레이션할 것이다. ... 예비 레포트- 실험날짜 : 2018년 11월 27일- 실험주제 : FPGA구조와 ASIC 설계 방법- 예비이론• FPGA & ASIC 정의FPGA(Field Programmable ... 제조사는 설계 이후에 수정할 수 없도록 할당된 덜 유연한 FPGA 버전으로 싸게 팔 수 있다.
    리포트 | 4페이지 | 2,500원 | 등록일 2021.11.08
  • VHDL를 이용한 FPGA설계 레포트코드해석본
    설계 구현에 사용된 구성품Kit7-Segmentpush buttonLEDBUZZER비트코인 시세고정비트코인 시세하락비트코인 시세상승? 입력버튼 설계2. ... 개미의 하루 의 정의 및 설계 ····················· 32. 개미의 하루 의 동작원리 및 기능 ············· 53. ... 따라서 어느 구간에서나 문자 저장 버튼을 누르면 위의 세그먼트에 문자값이 저장되며, 로드 버튼을 누르면 다시 그 문자를 불러올 수 있도록 설계하였습니다.?
    리포트 | 25페이지 | 2,000원 | 등록일 2021.09.26
  • Verilog HDL을 이용한 로직게이트 설계FPGA를 통한 검증 예비레포트
    Verilog HDL을 이용한 로직게이트 설계FPGA를 통한 검증예비레포트1. 실험 제목1) Verilog HDL을 이용한 로직게이트 설계FPGA를 통한 검증2. ... .- Field Programmable Gate Array(FPGA) board의 용도 및 기능을 파악하고 설계한 Digital IC를 검증하는 방법을 익힌다.3. ... 실험 주제1) Verilog HDL을 이용한 로직게이트 설계FPGA를 통한 검증- Hardware Description Language(HDL)을 이해하고 그 사용방법을 익힌다
    리포트 | 4페이지 | 1,000원 | 등록일 2022.08.26 | 수정일 2022.08.29
  • FPGA 프로젝트 보고서 (MPU설계) (Digital Systems Design Using Verilog)
    FPGA PROject 보고서microprocessor 소개본 microprocessor는 opcode 명령어를 읽어드리고, 해독하여 작업을 수행하는 fetch decode execute
    리포트 | 37페이지 | 2,000원 | 등록일 2020.03.12 | 수정일 2020.03.14
  • Verilog HDL을 이용한 로직게이트설계FPGA를 통한 검증 예비레포트 7segment(fnd)
    -실험 제목 [Verilog HDL을 이용한 로직게이트 설계FPGA를 통한 검증(ALU, BCD-to-7segmemt)]-관련 이론1. ... 산술논리장치는 컴퓨터 중앙처리장치의 기본 설계 블록이다.
    리포트 | 5페이지 | 1,000원 | 등록일 2021.06.20
  • Verilog HDL을 이용한 로직게이트설계FPGA를 통한 검증 예비레포트 NAND2,NOR2.X
    실험 제목 [Verilog HDL을 이용한 로직게이트설계FPGA를 통한 검증]2. ... 제조사는 설계 이후에 수정할 수 없도록 할당된 덜 유연한 FPGA 버전으로 싸게 팔 수 있다. ... Half Adder의 심볼 및 동작을 이해하고, 이를 응용하여 4-bit Adder의 설계 방법을 익힌다.-4-bit Adder를 Verilog HDL을 이용하여 설계하고, FPGA
    리포트 | 4페이지 | 1,000원 | 등록일 2021.06.20
  • Verilog HDL을 이용한 로직게이트설계FPGA를 통한 검증 예비레포트 half, full, 4-bit adder
    Verilog HDL을 이용하여 설계하고, FPGA를 통하여 검증하는 방법을 익힌다.3. ... 실험 제목 [Verilog HDL을 이용한 로직게이트 설계FPGA를 통한 검증(4-bit Adder)]2. ... 실험 목적- 1-bit Full Adder 와 Half Adder 의 심볼 및 동작을 이해하고, 이를 응용하여 4-bit Adder의 설계 방법을 익힌다.- 4-bit Adder를
    리포트 | 7페이지 | 1,000원 | 등록일 2021.06.20
  • [FPGA설계] FPGA와 CPLD
    제조사는 설계이후에 수정할 수 없도록 할당된 덜 유연한 FPGA 버전으로 싸게 팔 수 있다. ... 이런 설계개발은 일반적인 FPGA에서 만들었고 좀 더 ASIC와 비슷한 고정된 버전으로 변경되었다. ... 내부선 계층구조는 FPGA의 논리블록을 시스템 설계자가 요구하는 대로 단일칩 프로그래밍가능 빵판처럼 내부연결을 할 수 있다.
    리포트 | 6페이지 | 1,500원 | 등록일 2012.06.18
  • [FPGA설계] xilinx를 이용하여 FPGA설계
    설계 배경 및 목표? RoV-Lab 3000와 xilinx를 이용하여 FPGA설계하고 실습합니다.? ... FPGA의 클럭에 따라 일정 시간 간격으로 LED에 켜진 불이 오른쪽에서 왼쪽으로 이동하도록 설계합니다.FPGA_RSTB와 FPGA_CLK를 input port, LED를 output ... RoV-Lab은 FPGA 회로설계 검증용 장비로서 교육과정 및 회로 개발에 활용할 수 있도록 고안된 개발장비 입니다.?
    리포트 | 4페이지 | 1,500원 | 등록일 2012.06.18
  • Digital Clock 설계-FPGA
    3. 시뮬레이션 파형 설명처음에 파형의 모습을 살펴보면 clr은 1, cnt는 0, load값은 3, num값은 0으로 설정되어 있다. 그 다음 부분을 차례로 살펴보면 4ns 지연된 뒤 clr값은 0으로 값이 변하는 것을 볼 수가 있다. 그 후 6ns 지연된 뒤에 cn..
    리포트 | 10페이지 | 1,000원 | 등록일 2015.03.13
  • FPGA설계 - DE2보드 사용 버스 하차 시스템
    부품 DE2 보드 ( 케이블 및 파워포함 ) PUSH 스위치 모듈 서브 모터 Twitch GEC( 세라믹 부저 ) 그밖에 설계에 필요한 부품 및 재료는 DE2 보드에 장착되어 있고 ... 참고 문헌 (Verilog 를 이용한 ) 디지털 시스템 설계 - 강진구 , 조경순 , 김종태 , 양준성 ( 기초부터 응용까지 ) Verilog HDL - 차영배 구글 자료 검색 -Verilog
    리포트 | 13페이지 | 2,000원 | 등록일 2016.12.24 | 수정일 2018.02.09
  • 디지털회로설계 FPGA와 Sea Of Gates
    그리고 밀도와 속도의 관점에서 배선과 같은 자원이 현대의 설계를 지배하는 경향이 있다. 그림 8.22에 보여진 셀은 최초의 FPGA를 대표한다. ... 그러고 나서, 칩을 완료하기 위해 접점과 금속화는 선 설계 방식으로 진행한다. ... 설계자는 일반적으로 가능한 한 비순환 공학비용(NRE)을 최소로 유지시키려고 노력한다.
    리포트 | 8페이지 | 2,000원 | 등록일 2013.02.11
  • FPGA 디지털 시스템 설계 : 신호등 제어기 설계
    신호등 제어기 설계1. ... 끊이지 않도록, 농로에 차가 있을 경우에만 신호를 바꾸는 제어기를 설계하고자 한다(좌회전, 우회전 등의 신호는 고려하지 않음). ... Moore state machine을 이용한 traffic light controller 설계도시를 연결하는 큰 길과 교차하는 농로에 신호등을 설치할 때, 가능한 한 큰 길의 신호가
    리포트 | 2페이지 | 1,000원 | 등록일 2012.06.18
  • FPGA 디지털 시스템 설계 : 16bit Full adder 설계
    16bit Full Adder 설계1. 16bit Full adder module 설계16bit full adder는 총 16bit인 두 숫자를 더하는 역할을 한다. ... 고찰16bit full adder를 설계하기에 앞서, 16bit full adder는 1bit full adder를 module로 만들어 사용하면 편리하기 때문에 1bit full
    리포트 | 3페이지 | 1,000원 | 등록일 2012.06.18
  • FPGA를 이용한 디지털 시스템 설계(인하대) 순차회로 보고서
    FPGA를 이용한 디지털시스템 설계 REPORTSequential Circuits 설계11. ... 회로 설계자 입장에서는 초기 Q의 상태를 예측할 수가 없다. ... 실험목표이번 실험의 목표는 Sequential Circuit(순서회로)를 설계한 후 시뮬레이션하는 것이었다.2.
    리포트 | 19페이지 | 2,000원 | 등록일 2014.11.26 | 수정일 2015.09.30
  • [기초전자회로실험1] "Verilog HDL을 이용한 Full Adder와 Half Adder의 설계FPGA를 통한 검증" 결과보고서
    HDL을 이용하여 설계하고, FPGA를 통하여 검증하는 방법을 익힌다3. ... 실험제목Verilog HDL을 이용한 Full Adder와 Half Adder의 설계FPGA를 통한 검증2. ... 1Result report Electronic Engineering기초전자회로실험1Verilog HDL을 이용한 Full Adder와 Half Adder의 설계FPGA를 통한
    리포트 | 6페이지 | 1,500원 | 등록일 2019.03.19 | 수정일 2019.03.29
  • FPGA를 이용한 디지털 시스템 설계(인하대) Counter 카운터 보고서
    FPGA를 이용한 디지털시스템 설계 REPORTCounter 설계1. 실험목표이번 실험의 목표는 순차회로 중 하나인 Counter를 설계한 후 시뮬레이션 하는 것이었다.2. ... 보통 2개 이상의 플립플롭의 조합으로 구성되어 있으며 플립플롭들이 미리 정해진 순서를 따라 상태를 변경하도록 설계한다.카운터가 수를 세는 원리는 들어오는 입력신호(보통 클럭신호)의 ... 실험과정 및 소스코드.이번 실험에서는 4bit Up Counter와 Down Counter를 설계하고, 4bit 74163 Counter를 이용하여 8bit 74163 Counter를
    리포트 | 16페이지 | 2,000원 | 등록일 2014.11.26 | 수정일 2015.09.30
  • [기초전자회로실험1] "Verilog HDL을 이용한 Full Adder와 Half Adder의 설계FPGA를 통한 검증" 예비보고서
    실험제목Verilog HDL을 이용한 Full Adder와 Half Adder의 설계FPGA를 통한 검증2. ... 1Preliminary report Electronic Engineering기초전자회로실험1Verilog HDL을 이용한 Full Adder와 Half Adder의 설계FPGA를 ... 방법을 익힌다.③ 4-bit Adder를 Verilog HDL을 이용하여 설계하고, FPGA를 통하여 검증하는 방법을 익힌다회로부품Field Programmable Gate Array
    리포트 | 6페이지 | 1,000원 | 등록일 2019.03.19 | 수정일 2019.04.01
  • [기초전자회로실험1] "Verilog HDL을 이용한 Seven-segment display의 설계FPGA를 통한 검증" 예비보고서
    실험제목Verilog HDL을 이용한 Seven-segment display의 설계FPGA를 통한 검증2. ... 1Preliminary report Electronic Engineering기초전자회로실험1Verilog HDL을 이용한 Seven-segment display의 설계FPGA를 ... 방법을 익힌다.③ Seven-segment display를 Verilog HDL을 이용하여 설계하고, FPGA를 통하여 검증하는 방법을 익힌다회로부품Field Programmable
    리포트 | 6페이지 | 1,000원 | 등록일 2019.03.23 | 수정일 2019.04.01
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 19일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:50 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대