• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(69)
  • 리포트(67)
  • 논문(1)
  • 자기소개서(1)

"LCD 시계 타이머" 검색결과 1-20 / 69건

  • [8051 어셈블리어] 다목적 디지털 LCD 시계 (날짜,시간,요일 변경, 알람, 스톱워치, 타이머, 세계시간, 크리스마스 모드)
    타이머 모드 - 타이머 시간 설정 가능 - 시작/정지/리셋 가능 - 타이머가 완료되면 COMPLETE라는 글자가 뜨며 멜로디가 나옴 - 타이머가 완료되면 다른 모드에 있어도 타이머
    리포트 | 35페이지 | 7,000원 | 등록일 2012.04.30 | 수정일 2023.03.10
  • 8051에 대한 일반적인 개념 및 LCD시계동작을 위한 타이머 셋팅
    14번까지는 데이터 버스LCD 시계 동작 방법◆ 시계 구현 방법 - 시계모드에서는 타이머/카운터0을 이용하여 50ms마다 인터럽트를 발생 시켜 이것을 카운터 하여 초, 분, 시를 ... 8051 사용 및 시계동작을 위한 타이머 셋팅정보통신학과 20001248 정종우 20011241 김동규 20053524 김선규8051의 개념 및 구조와 특징8051메모리 구조 – 3개의 ... 1초 단위는 6진법 이라는 것에 주의. 16핀LCD모듈의 경우 마지막 2핀 사용 안 함.LCD시계 동작 원리► Vcc와 GND 가 각각 1번 2번에 연결 ► 3번은 8051 키드에
    리포트 | 12페이지 | 1,000원 | 등록일 2008.05.23
  • (10가지 기능, 코드 전체 포함, 직접 작성한 코드, 확장성 좋은 코드)서울시립대학교 전전설2 10주차(Final) 예비레포트(코딩 매우 성공적, A+, 10점 만점 11점, 디지털 시계)
    , 알람, 세계시각, 스톱와치, 타이머, 멜로디, AM/PM, 12시간/24시간, 윤달, 윤년, … etc)- InputCLK, M_CLK : 1kHz, 1MHzRESET : 누르면 ... 현재 STATE의 내용 초기화SW : 0~11번 까지 스위치BUS_SW : 0~7번의 BUS_SW- output총 7가지의 기능을 목표로 하였고, 순서대로 시계, 시계 조작, stopwatch ... Purpose of this Lab이번 실험에서 Verilog HDL언어를 사용하여 디지털 시계를 설계한다.
    리포트 | 33페이지 | 3,700원 | 등록일 2020.07.22 | 수정일 2020.12.07
  • 마이크로 프로세서 ATmega128을 이용한 시계 구현
    alarm){PORTF = 0x00;}LCD_pos(0,1);//줄바꿈LCD_STR("SW ");//타이머 기능LCD_char(thour1+'0');//시 분 초 소숫점 2자리 출LCD_char ... 시계 변수sec = 0, min=0, hour=0;int thour, tmin, tsec,point1, point2;//타이머 변수thour = 0, tmin = 0, tsec = ... 기능hour1 = hour/10;//시계 초 10자리hour2 = hour%10;//시계 초 1자리min1 = min/10;//시계 분 10자리min2 = min%10;//시계
    리포트 | 13페이지 | 3,500원 | 등록일 2020.12.25 | 수정일 2022.10.10
  • [졸업작품보고서] UV-C 살균기 제작 / 제어계측공학전공
    이 때 출력되는 신호의 패턴을 확인하면 노브가 시계방향으로 돌았는지 반시계방향으로 돌았는지 알 수 있다.(4) LCD Display액정 디스플레이(Display) 또는 액정 표시장치 ... 로터리 인코더를 반시계방향으로 2바퀴 회전시키면 타이머 모드와 인터벌 모드의 전환이 일어난다. 은 타이머 모드 은 인터벌 모드일 때의 화면 표시이다. ... , 줄여서 LCD(Luid Crystal Display)는 디스플레이 장치의 하나이다.
    리포트 | 10페이지 | 7,000원 | 등록일 2022.04.14
  • (완전 세세한 정리, 끝판왕) 시립대 전전설2 final project Digital Clock 기능7개 전자전기컴퓨터설계실험2
    시계의 출력데이터를 관리시계의 출력데이터를 관리하기 위해서 [7:0]LCD_CLOCK_DATA [16:0]을 선언해주었다. ... 시계작동 2. 알람 3. 타이머 4. 스톱워치 5. 세계시간으로 나누어서 설명하고자 한다. 대부분 설명이 필요한 부분에 대한 내용들은 1. ... 시계에서 내가 필요한 만큼의 공간을 선언해준 것으로 알람, 타이머, 스톱14152019-12-12-MON16171819202122232425262728293031S-WATCH00:00
    리포트 | 52페이지 | 6,000원 | 등록일 2020.07.28 | 수정일 2020.09.24
  • 전자전기컴퓨터설계실험2(전전설2) (10) Final Project
    처음부터 각각 리셋, 메인클럭 1㎒, LCD 인에이블, LCD 레지스터 선택, LCD 데이터, 버튼 스위치 1 ~ 16, 피에조, LED와 연결된다.또한, 디지털 시계의 작동을 위해 ... [사진 1] 변수 선언 (소스코드 일부)디지털 시계 소스코드의 모듈명은 Final_3이고, 입력으로는 RESETN(리셋), CLK_1MHZ, 출력으로는 LCD_E, LCD_RS, LCD_RW ... 이 디지털 시계는 [표 1]의 필수 동작과 선택 동작을 구현해야 하며, 실제 장치에 연결하여 소스코드의 내용과 디지털 시계의 동작 일치 여부를 확인한다.1)Text LCD를 사용2)
    리포트 | 110페이지 | 10,000원 | 등록일 2019.10.13 | 수정일 2021.04.29
  • 한류관광제품(한글ioT시계) 사업계획서
    사진이 전시되면서 어플리케이션으로 제어가능한 탁상용 시계임- 제품구성도· 제어부: iOT모듈, 타이머모듈, 위성모듈, 디스플레이모듈 등 전체시스템을 시스템을 통합제어하며, 입출력에 ... LCD의 가격하락에 따라 LCD 융합제품의 시장이 고성장추세에 있으며, 투명TFT-LCD의 보편화로 인한 융합신제품의 수요창출- 2017년 기준 세계 LCD시장은 1,155억달러로 ... 연평균 5%이상의 성장세를 보이고 있으며 최근 2년간 LCD패널은 가격하락세를 보이고 있어 LCD융합제품의 수익성을 높일 수 있는 대안으로 자리잡고 있음- 투명TFT-LCD는 투명한
    리포트 | 5페이지 | 2,500원 | 등록일 2020.10.06
  • 시스템프로그래밍 ) 임베디드 시스템의 특징에 대해 정리하고 최근 임베디드 시스템이 많이 활용되고 있는 분야에 대한 사례를 조사하여 요약 정리하시오.
    User Interface가 있다고 하더라도 조그마한 키패드 정도 이거나 LCD가 달리는 정도이다. ... 때문에 Software가 주기적으로 타이머를 건드리지 않으면 전체 system을 초기화 시켜버리는 와치독 타이머라고 하는 전자 부품을 다는 경우도 있다.Embedded system의 ... 외형적으로 Embedded system의 범위는 디지털 시계, MP3 재생장치, 공장 제어장치, 교통 신호등 등 간단히 한 개의 마이컴만 사용한 것부터 시작하여 매우 복잡한 네트워크를
    리포트 | 6페이지 | 5,000원 | 등록일 2023.01.20
  • Atmega32 임베디드 프로세서
    타이머 / 카운터 : 1 개카운터로 동작할때 외부 클럭 입력 단자 :TOSC1 시계 클럭 접속단자 :TOSC1, TOSC2 (32.768kHz) PWM 출력단자 :OC0 32 128 ... ( 주기 ) 설정 6,3( WGM:waveform Genertaion Mode) 파형을 출력하는 기능을 설정 5,4 타이머 , 카운터 0 2,1,0 분주cd_puts (_LCD test ... = 클럭으로 입력타이머 / 카운터 0 가장 일반적으로 많이 쓰이는 single 채널 (1:1), 8bit 타이머 / 카운터 모듈TCCR0 : 타이머 / 카운터 0 동작모드 및 분주비
    리포트 | 77페이지 | 1,000원 | 등록일 2019.01.28
  • 타이머 / 디지털 시계 [마컴 예비레포트]
    실험 목적AVR ATmega 128을 이용하여 타이머를 사용해 디지털시계를 만들어 보는 기초적인 응용을 통하여 작동원리를 알아본다.2. ... 20721583 마이크로컴퓨터박민연20721583 마이크로컴퓨터 실험박민연10월 12일자 예비레포트실험 6주차 PWM [Pulse Width Modulation]타이머 / 디지털 시계1 ... /카운터 모두 프리스케일러를 사용캡쳐 기능⑴ 타이머 / 카운터에 대한 간단한 필요한 지식⑵ 7 세그먼트 LCD7세그먼트 표시기라고 하는 소자는 0에서 9까지의 숫자를 표시하기 위해
    리포트 | 12페이지 | 1,000원 | 등록일 2012.05.22
  • VHDL 디지털 시계
    VHDL을 이용하여 시계, 알람, 타이머, 스톱워치 기능을 설계하였습니다.사용자로부터 입력을 받을 수 있으며, 이를 LCD로 출력합니다.
    리포트 | 63페이지 | 3,000원 | 등록일 2010.12.07
  • 제어계측공학과 졸업작품『ATMEGA128을 이용한 지능형 알람로봇』
    알고리즘그림2. 19 전체 시스템 알고리즘시스템을 시작하게 대면 LCD메인 화면에 현재시간을 출력한다. ... 풍부한 내부 자원(SRAM, 통신포트, A/D변환기, 타이머, PWM, I/O포트 등)을 구비하고 있어 외부에 별도로 주변장치를 부착하지 않아도 됨? ... 다양한 제어 용도에 적합하게 설계 된 Atmega128은 하나의 칩 내에 8비트 CPU부분 롬, 램, I/O포트, 타이머/카운트, 시리얼 포트, 클록발생부분을 포함하고 있어 적은 수의
    논문 | 30페이지 | 4,000원 | 등록일 2020.05.31 | 수정일 2020.06.07
  • LCD 제어 최종보고서
    LCD 최종보고서LCD 디지털시계의최종 보고서로 제출합니다.2007년 11월 30일학 번 :성 명 :제 1 장 개 요1.1 실험 과제 명 및 개발 기간1.2 개발 목적 및 목표제 2 ... 디지털 시계개발 기간 - 1주 ~ 3주 : 기획 및 기본 지식 공부3주 ~ 5주 : 기판 납땜 및 LCD 장착5주 ~ 6주 : 회로 구현 및 프로그램 디버깅1.2 개발 목적 및 목표ATmaga ... /카운터 발진 증폭기에 대한 입력TOSC218반전 타이머/카운터 발진 증폭기에 대한 출력/WR33외부 SRAM 기록 스트로브/RD34외부 SRAM 판독 스트로브ALE43외부 메모리가
    리포트 | 28페이지 | 2,500원 | 등록일 2011.11.16
  • 한기대 전자공학 마프2
    LCD가아니라 직접 오른쪽의 노랑색 핀을 타이머에 꼽아서 동작시키며 모터가 돌다가 핀을 꼽아 놓은 자리 까지 가면 아래의 판넬이 열리면서 밥을 투하한다. ... 추가적으로 온도 센서와 습도센서를 달아 물의 온도와 습도를 측정하고 그것을 LCD모듈로 보여 줄 것이다.- 소프트웨어 : 지난 학기 때 어셈블리어로 직접 짰던 시계(스탑워치, 세계시간 ... (); // LCD 초기 설정Timer_Interrupt_Initialize(); // 타이머/카운터 초기설정External_Interrupt_Initializ
    리포트 | 27페이지 | 2,500원 | 등록일 2016.11.20 | 수정일 2017.09.11
  • 마이크로프로세서 및 실습 레포트5
    타이머 인터럽트를 이용하여 시계를 만든 후 스위치기능(A포트)를 추가 하여 시간과 분을 조절한다. ... 마이크로 프로세서 및 실습2011161072 서성민 - 과제 4에서 진행했던 디지털 시계 + 시간 조절 스위치 추가 수행했던 부분을 타이머 카운터를 적용해 완벽한 동작이 구현되도록 ... #include //delay 헤더파일을 포함#include //인터럽트를 사용하기위해 인터럽트 헤더를 포함#include "lcd.c"//이전에 썼던 LCD
    리포트 | 7페이지 | 1,000원 | 등록일 2015.01.19
  • FPGA DE2보드를 이용해서 디지털시계만들기 (시계, 알람, 스톱워치기능 포함 )
    FPGA설계로 디지털시계를 구현하고, 그에 알맞은 스톱워치기능, 알림기능, 시간설정기능을 16 x 2 character LCD표시소자로 나타낸다.디지털시계- 디지털시계의 기능은 크게 ... 디지털클럭, 타이머 및 알람 기능으로 구성되며 이것을 VDHL기반으로 Quartus Ⅱ툴을 사용하여 설계하고 Altera DE-2 보드에 설계결과를 다운로드 후 동작여부를 ... 7-Segment 소자 및 16x2 character LCD 표시소자로 작동상태 확인 및 점검.
    리포트 | 3,000원 | 등록일 2014.12.30
  • 2014 하반기 NHN엔터테인먼트 합격 자기소개서
    어셈블러와 c언어를 이용하여 타이머 인터럽트를 주도록 코드를 설계하였습니다. 이를 사용해서 분과 시가 작동하도록 하였습니다. ... 어셈블러와 c언어를 이용하여 타이머 인터럽트를 주도록 코드를 설계하였습니다. 이를 사용해서 분과 시가 작동하도록 하였습니다. ... 더 나아가 LCD에 글을 나오게 설계하여, 추가점수를 받을 수 있었습니다.[JAVA언어 - 채팅프로그램]JAVA언어로 채팅프로그램을 설계하였습니다.
    자기소개서 | 3페이지 | 3,000원 | 등록일 2015.02.27
  • 마이크로프로세서 ST Microelectronics STM32F407VG 조사
    LCD 병렬 인터페이스, 8080 / 6800 모드? 시계, 리셋, 전원 관리?- 1.8V~3.6V의 어플리케이션 전원 및 I / O?- POR, PDR, PVD 및 BOR? ... 범용 DMA : FIFO와 버스트를 지원하는 16 스트림 DMA 컨트롤러최대 17 개의 타이머 : 최대 16 개의 16 비트 및 2 개의 32 비트 타이머 (최대 168 MHz). ... - 보정 내장 32 kHz RC시계, 리셋, 전원관리 기능들은 필수적인 요소들이다.? 저전력 동작?- 슬립, 정지, 대기 모드?
    리포트 | 6페이지 | 1,000원 | 등록일 2017.06.18
  • 습도센서를 사용한 스마트 플랜트(8051)
    습도센서4.서보모터5.물통8.프로그램;시계번지 설정SEC EQU 2AH //시계 초를 42H에 저장MIN EQU 2BH //시계 분을 43H에 저장HOUR EQU 2CH //시계 시를 ... 그 값을 LCD에 띄운다.LCD 상단은 시계, 하단은 습도 값을 표시한다.특정 습도상태에서 모터를 가동하여 화분에 물을 준다, 이 때 모터가 한번 가동하고 다시 습도 값을 측정하여 ... /카운터 0JMP TO_SERORG 401BH //타이머/카운터 1SJMP T1_SER;타이머 및 외부 인터럽트 설정START: MOV PSW, #08H //뱅크 1CALL LCD_INIT
    리포트 | 26페이지 | 3,500원 | 등록일 2013.12.05 | 수정일 2016.05.09
AI 챗봇
2024년 09월 01일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
12:36 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대