• LF몰 이벤트
  • 파일시티 이벤트
  • 유니스터디 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(74)
  • 리포트(73)
  • 시험자료(1)

"MUX$_1_els" 검색결과 1-20 / 74건

  • 시립대 전자전기컴퓨터 마이크로프로세서 Verilog를 통한 41 mux, ripple carry adder 구현
    Ripple carry adder구현 코드wave 결과고찰4.참고문헌.4:1 mux구현코드wave 결과- 4:1 mux의 truth table은 sel1, sel2에 00 넣어줬을 ... 때 out이 a값, sel1,sel2에 01을 넣어줬을 때 out이 b값, sel1,sel2에 10을 넣어줬을 때 out이 c값, sel1,se1에 11을 넣어줬을 때 d값이 출력된다 ... 과제는 Modelsim 프로그램을 이용하여 4:1 mux, ripple carry adder를 verilog로 구현하는 것이었다.
    리포트 | 5페이지 | 1,000원 | 등록일 2021.04.12 | 수정일 2021.04.16
  • Mux&Decoder2차레포트 디지털회로설계
    sel에 변화를 주었다. sel이 0일때는Y1 출력이 나오고 sel이 1일때는 Y2에서 출력이 나오는 것을 알 수 있다.DE2 동작을 위해 핀 플레너 입력 사진이다. ... 이론 설명제 2장 각각의 schematic디자인, VHDL디자인(1) 1비트 2x1 Mux Schematic , VHDL(2) 2비트 2x1 Mux Schematic , VHDL(3 ... 설계하고 DE2 보드로 작동하기제 3장 요약 및 결론레포터의 목적(1) 1비트 2x1 Mux Schematic , VHDL(2) 2비트 2x1 Mux Schematic , VHDL
    리포트 | 15페이지 | 2,000원 | 등록일 2022.01.05
  • VHDL_5_Digital Watch, 1초 생성기, 60진24진 카운터, MUX, FND decoder
    시뮬레이션 결과 및 설명sel = 0 : 0ns ~ 160ns 까지는 A의 입력을 그대로 출력에 내냄.sel = 1 : 160ns ~ 320ns 까지는 B로부터 받은 입력을 반전시켜서 ... 최대 2까지 증가.실습제목: MUX 2x11. 주제 배경 이론MUX는 신호 선택기다. ... 선언, 출력 포트 Y 선언.13~16)sel에 1이 들어오면 b를 출력하고 0이 들어오면 a를 출력하도록 설정.
    리포트 | 22페이지 | 2,000원 | 등록일 2021.09.23 | 수정일 2022.04.04
  • 인하대 fpga 3주차 먹스, 디코더보고서
    LAB2.2to1 MUXmodule a2to1 MUX(input a,input b,input sel,output reg out); /*모듈이름은 a2to1 MUX이고 각각 인풋 아웃풋들을 ... 닫기/endmodule //모듈 종료2. 4to1 MUXmodule a4to1mux(input [1:0] a,input [1:0] b,input [1:0] sel,output reg ... out); /* 모듈의 이름은 a4to1mux이고 각각 a b sel을 2개씩 배열로 선언하였고, output단자는 out이란 변수이름으로 reg형으로 선언하였다*/always @
    리포트 | 10페이지 | 3,000원 | 등록일 2020.07.07
  • 성균관대학교 디지털집적회로설계 cad과제 4
    차례로 carry out이 1이 발생하기 때문에 나머지 세 carry cell의 delay가 최대가 될 것이다. mux들의 delay가 최대가 되게 하기 위해서 sel 신호가 1이 ... 그래서 carry와 mux cell의 delay를 최대로 하기 위해 carry cell의 input들이 Cin=1, G=0, P= 0->1이 되는 상황과 mux cell의 input들이 ... Carry와 mux cell의 delay가 adder의 delay에 가장 dominant하다.
    리포트 | 20페이지 | 2,000원 | 등록일 2021.05.31
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab05(결과) / 2021년도(대면) / A+
    또한 if 구문에서 출력값 f는 값을 할당받으므로 reg로 선언해주었다.- 실험(5)의 2비트 2:1 MUX 회로에서 출력할 데이터의 입력 단자는 선택 입력 신호(sel)에 의해서 ... 제어된다(MUX(Multiplexer)는 N개의 입력 데이터 중 하나를 선택하고, 선택된 자료를 하나의 출력 채널에 전송하는 장치이다). sel=0일 경우에는 A의 입력을 출력으로 ... (5) [실습 5] 2비트 2:1 MUX 회로를 case문을 사용하여 설계하시오.Source codeTestbenchPin testbench 시뮬레이션 결과 설계한 2비트 2:1 MUX
    리포트 | 19페이지 | 2,000원 | 등록일 2022.07.16
  • 기초 Risc v 설계 코드와 검증 코드( RiscV 기계어 코드 파일 만는는 타스크 함수 포함)
    Mux21 (out,in0,in1,sel);output reg [31:0] out;input [31:0] in0, in1;input sel;always @(*)beginif (sel ... out(wdata_w),.in0(alu_o),.in1(mdata),.sel(MemtoReg));Mux21 U19 (.out(wdata),.in0(wdata_w),.in1({31'b0 ... imme_o[32:1]));Mux21 U11 (.wdata(rdata2),.MemWrite(MemWrite),.MemRead(MemRead));// MEM_EXMux21 U18 (.
    리포트 | 49페이지 | 10,000원 | 등록일 2021.11.05
  • FPGA 실습 보고서 (Digital Systems Design Using Verilog)
    ) : 입력 a,b와 sel값을 받아 sel값에 따라 a,b중 하나의 값을 출력한다.전가산기1-bit 전가산기의 결선도 기호전가산기(全加算器, full adder)는 이진수의 한 자릿수를 ... FPGA 2주차 실습 보고서실습이론FA(fulladder) : 입력 a,b와 carry in을 받아서 덧셈을 하여 carry out 과 sum을 내보내는 것MUX(multiflexer ... 실습내용1) fulladdermodule fulladder(output sum,output c_out,input a,input b,input c_in); /* fulladder는
    리포트 | 15페이지 | 2,000원 | 등록일 2020.03.12 | 수정일 2020.03.14
  • u Processor 설계
    MUX의 sel 신호를 보내주는 출력을 가진 IR_Decoder를 사용한다.코드 및 설명2x4 DecoderIR_Decoder출력 결과2x4 DecoderIR_DecoderRegisterRegister ... 선택 입력의 개수를 N이라고 하면 입력 데이터의 개수는 개의 관계를 가진다.이번 설계 실습에선 4x1 MUX, 2x1 MUX를 사용하는데 4x1 MUX는 Register 1~4로부터 ... ALU 로 데이터를 전달하기 위하여 사용하고 2x1 MUX는 Program Memory와 ALU 연산결과를 Data Bus에 올려놓기 위해 사용한다.코드 및 설명2x1 MUX4x1
    리포트 | 18페이지 | 2,000원 | 등록일 2020.10.05
  • VHDL_2_MUX,DEMUX,비교기,ALU,Hamming code
    실습제목: 8X1 MUX1. ... 즉 sel에 의해 선택된 Input이 출력 값이 된다. ... 출력은 MUX이므로 1개이다.Body 부분에서는 해당 함수의 동작을 정의했다. case문을 이용하여 Sel로 입력되는 비트 값에 따라 입력 선을 선택하여 TMP에 대입해주는 방식이다
    리포트 | 35페이지 | 2,000원 | 등록일 2021.09.23 | 수정일 2022.04.04
  • 수원대 컴퓨터 구조 중간고사 요약
    ==j) f=g+h;else f=g-h;- 어셈블리어:bne x22, x23, Els ->n값 top#n-16 에 백업addix5, x10, -1 ->임시버퍼 x5에 n-1 저장bgex5 ... 따라 여러 제어 신호를 발생시키는 장치- 멀티플렉서(MUX): 제어 신호를 받아 여러 데이터패스 중 하나를 선택하는 장치* 제어장치의 제어 신호들- ... funct7: 0rs2: x1rs2: x1fucnt3: 0rd: x1opcode: 51-> add x1, x1, x12.
    시험자료 | 29페이지 | 1,500원 | 등록일 2023.01.07
  • verilog 풀애더 멀티플렉서 보고서
    0], sel[0], c0);mux_2to1 mux1(a[1], b[1], sel[0], c1);mux_2to1 mux2(c0, c1, sel[1], out);endmodule`timescale ... input vector sel 0~1output out);wire c0, c1;//2to1 mux 모듈 재사용 a,b,sel,out 순서이다.mux_2to1 mux0(a[0], b[ ... 스위칭#200 sel = 2;#200 sel = 3;#200 sel = 0;endendmodule4to1 MUX (모듈 이용)`timescale 1ns / 1psmodule mux_
    리포트 | 15페이지 | 1,000원 | 등록일 2018.12.27
  • 시립대 전전설2 [5주차 예비] 레포트
    PreLab 3(다음의 Verilog HDL 코드에 대하여 sel =2’b00 또는 sel=2’b11일 때 어떤 출력이 나오는지 예상하고 in1, in2, sel에 여러가지 값이 들어가는 ... 상황에 대하여 예상 타이밍 시뮬레이션을 그려오시오)다음의 Verilog HDL 코드에 대하여 sel =2’b00 또는 sel=2’b11일 때 어떤 출력이 나오는지 예상하고 in1, ... PreLab 2(Mux와 Demux의 기능에 대하여 각가 조사하고, 예를 들어 설명하시오.)Mux와 Demux의 기능에 대하여 각가 조사하고, 예를 들어 설명하시오.멀티플렉서 또는
    리포트 | 8페이지 | 2,000원 | 등록일 2019.07.29
  • 결과보고서 - 4bit ALU
    _1 (D4, D5, D6, D7, sel[0], sel[1], w1);mux4to1 m4to1_2 (D8, D9, D10, D11, sel[0], sel[1], w2);mux4to1 ... [4:0] w0, w1, w2, w3;wire zout_sel;mux4to1 m4to1_0 (D0, D1, D2, D3, sel[0], sel[1], w0);mux4to1 m4to1 ... on the values of sel[0] and sel[1]mux4to1 m4to1_4 (w0, w1, w2, w3, sel[2], sel[3], Dout);// then, a
    리포트 | 7페이지 | 2,000원 | 등록일 2017.11.08
  • [verilog]Modified Booth Multiplier 설계
    _0, X2_sel_0, NEG0, pp1);mux_sel M2(x,X_sel_1, X2_sel_1, NEG1, pp2);mux_sel M3(x,X_sel_2, X2_sel_2, NEG2 ... , pp3);mux_sel M4(x,X_sel_3, X2_sel_3, NEG3, pp4);cod_cir C1(1`b0,y[0],y[1],X_sel_0,X2_sel_0,NEG0);cod_cir ... [8:0] pp1, pp2, pp3, pp4;wire [8:0] cout0, cout1, cout2;wire [8:0] sum0, sum1, sum2;mux_sel M1(x,X_sel
    리포트 | 13페이지 | 3,000원 | 등록일 2012.04.30 | 수정일 2021.05.07
  • 결과보고서 - NH800 을 위한 ALU Decoder
    w0 or w1; w0 for x1, w1 for x0endmodule위에서 만든 2 to 1 Mux를 이용하여 4 to 1 Mux를 만든다.4 to 1 Mux를 만들기 위해 2 ... for x0endmodule동일한 원리로 이번에는 4-to-1 MUX를 이용하여 8-to-1 MUX를 만든다.module mux8to1 (x0, x1, x2, x3, x4, x5, ... two 1-bit selectorswire [4:0] w0, w1;mux2to1 m2to1_0 (x0, x1, s0, w0);mux2to1 m2to1_1 (x2, x3, s0, w1
    리포트 | 8페이지 | 2,000원 | 등록일 2017.11.08
  • [컴퓨터회로] Counter,Decoder,Rotation,state 소스코드 및 Counter보고서
    그림 3에서 보면 곱해지는 수는 sel비트에 따라 mux를 이용하여 0일 때 새로운 값을 받아들이고 1일 때 자기가 가지고 있는 값을 인풋으로 집어 넣는다. ... 이는 처음에 받아들인 값을 간직하고 있다가 en이 대기상태에서 실행상태로 변할 때 sel을 0에서 1로 바꾸어주어 A는 0000을 가지고 있더라도 플립플롭이 이미 간직하고 있던 값을 ... 따라서 곱해지는 수와 곱하는 수가 있다면 곱해지는 수인 basic_register부분은 항상 4비트가 곱해져야 하고 곱하는 수인 4bit shift register 부분은 1비트씩
    리포트 | 3페이지 | 3,000원 | 등록일 2016.10.29
  • FPGA를 이용한 디지털 시스템 설계(인하대) MUX, Decoder, Comparator 보고서
    1:0] sel;wire out;mux_4to1 m4to1 (in0, in1, in2, in3, sel, out);initialbeginin0 = 0; in1 = 0; in2 = 0 ... 때, 각각 in0, in1, in2- 4-to-1 MUXmodule mux_4to1(in0,in1,in2,in3,sel,out);input in0,in1,in2,in3;input ... 보통 2n개의 입력선과 n개의 선택 입력선이 있다.흔히 간단히 설계할 수 있는 MUX는 2-to-1 MUX, 4-to-1 MUX가 있는데, 2-to-1 MUX는 2개의 입력신호 중
    리포트 | 18페이지 | 2,000원 | 등록일 2014.11.26 | 수정일 2015.09.30
  • [토끼] 응용논리_4× 1 MUX를 4가지 구문을 이용하여 VHDL로 설계, 시뮬레이션, 합성 과제 ( IF 문, CASE 문, When~else 문, With~select
    ) (1-1) IF 문을 이용한 4×1 MUX의 VHDL CODElibrary IEEE;use IEEE.std_logic_1164.all;entity mux41 is port ... 인터넷을 뒤져본 결과 compile report를 이용하여 하나씩 여러 에러들을 잡아내었으며 결국 컴파일을 성공 할 수 있었다. (1-2) IF 문을 이용한 4×1 MUX의 SIMULATION ... 과제: 4× 1 MUX를 다음의 4가지 구문을 이용하여 VHDL로 설계 하고 시뮬레이션, 합성 하여라. ( IF 문, CASE 문, When~else 문, With~select문
    리포트 | 17페이지 | 3,000원 | 등록일 2013.01.14 | 수정일 2020.07.13
  • VHDL을 이용한 Mux, Demux, incoder, decoder, FND 설계 및 실습
    실습목표 :(a) 1비트 2x1 Mux Schematic & VHDL(b) 2비트 2x1 Mux Schematic & VHDL(c) 1비트 1x2 Mux Schematic & VHDL ... DE2 동작확인Pin배치는 다음과 같다.d-1. sel이 0일때 (스위치순서 왼쪽부터 A1, A0, B1, B0, sel)d-2. sel이 1일때d-3. ... 그 외sel이 1일땐 A1, A0를 넣어도 B1, B0의 상태를 따르며(왼쪽사진) sel이 0일때는 B1, B0를 움직여도 A1, A0의 상태를 따르는것(오른쪽사진)을 확인할 수있다
    리포트 | 16페이지 | 1,000원 | 등록일 2014.05.31 | 수정일 2014.06.02
AI 챗봇
2024년 09월 02일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
2:10 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대