• 통큰쿠폰이벤트-통합
  • 통합검색(9)
  • 리포트(9)

"Quartus Ⅱ Schematic" 검색결과 1-9 / 9건

  • 논리회로실험 6주차 결과보고서
    2X1 multiplexer의 Quartus Ⅱ Schematic을 이용한 구현이전 실험들의 경우 1비트만을 다루었기 때문에 얇은 선을 사용했으나, 이번 실험에서는 처음으로 2비트 ... 실험과정[ 실험 1, 2 ]1. 2X1 multiplexer와 4x1multiplexer를 Quartus Ⅱ Schematic을 이용하여 구현하고, 이론과 실험이 동일한지 Modelsim과 ... 1X2 demultiplexer의 Quartus Ⅱ Schematic을 이용한 구현I[1..0]과 sel 모두 2비트를 사용하였기 때문에 굵은 선으로 DEMUS 2X1 block와
    리포트 | 8페이지 | 1,000원 | 등록일 2014.01.05 | 수정일 2014.09.30
  • 논리회로실험 9주차 결과보고서
    Register의 Quartus Ⅱ Schematic을 이용한 구현N비트의 Register는 Flip Flop n개로 구성되며, 2진 정보 n비트를 저장할 수 있다.? ... Ring Counter의 Quartus Ⅱ Schematic을 이용한 구현링 카운터는 시프트 레지스터를 응용한 가장 간단한 카운터로서 시프트 레지스터의 최종 출력을 다시 입력에 귀환시킨 ... Shift Register의 Quartus Ⅱ Schematic을 이용한 구현시프트 레지스터는 잠정적인 데이터의 저장을 목적으로 일련의 플립플롭들을 연결한 것으로서 클럭 펄스가 들어올
    리포트 | 6페이지 | 1,000원 | 등록일 2014.01.05 | 수정일 2014.09.30
  • 논리회로실험 8주차 결과보고서
    D latch의 Quartus Ⅱ Schematic을 이용한 구현D latch는 D(d)라는 하나의 데이터 입력을 가지고 있으며, 출력에 영향을 주는 enable 입력을 가진다. ... D Flip Flop의 Quartus Ⅱ Schematic을 이용한 구현D Flip Flop은 입력신호 D가 clock에 동기되어 그대로 출력에 전달되는 특성이 있는 가장 간단한 Flip ... 실험과정[ 실험 1 ]1.D latch의 회로를 구현하기 위해 Quartus 를 이용하여 구현하고, Modelsim 값과 DE2-115에서의 동작을 확인한다.?
    리포트 | 5페이지 | 1,000원 | 등록일 2014.01.05 | 수정일 2014.09.30
  • 논리회로실험 5주차 결과보고서
    실험과정[ 실험 1 - 1 + 2]지난 4주차 실험에서 F = AB` + A`B`C , S = B`( A+C ) 라는 두 개의 부울식을 Quartus Ⅱ Schematic을 이용하여 ... +C ) 라는 두 개의 부울식을 Quartus Verilog HDL을 이용하여 구현하고, 두 식 F와 S의 결과 값이 서로 일치 하는지 Modelsim과 Quartus 를 이용하여 ... 구현하고, 두 식 F와 S의 결과 값이 서로 일치하는지 Modelsim과 Quartus 를 이용하여 증명하였었다.이번 실험에서는 F = AB` + A`B`C , S = B`( A
    리포트 | 6페이지 | 1,000원 | 등록일 2014.01.05 | 수정일 2014.09.30
  • 논리회로실험 7주차 결과보고서
    7-segment LED의 Quartus Ⅱ Schematic을 이용한 구현? 7-segment LED의 Modelsim을 이용한 결과 파형 확인? ... 4x2 encoder의 Quartus Ⅱ Schematic을 이용한 구현4x2의 encoder는 신호 2^2개의 입력을 받아 2개의 출력을 만들고 입력신호 4개 중 활성화된 1비트 ... 2x4 decoder의 Quartus Ⅱ Schematic을 이용한 구현2x4 decoder는 2개의 입력을 받아 2^2개의 출력을 만들고 두 입력에 따라 네 개의 출력 중 하나가
    리포트 | 8페이지 | 1,000원 | 등록일 2014.01.05 | 수정일 2014.09.30
  • 논리회로실험 4주차 결과보고서
    실험과정[ 실험 1 - 1 ]1) F = AB` + A`B`C , S = B`( A+C ) 라는 두 개의 부울식을 Quartus Ⅱ Schematic을 이용하여 구현하고 두 식 F와 ... 이를 Modelsim과 Quartus 를 이용하여 실험으로 증명한다.1) F = A` + B` + C` 에 대해서 Schematic을 만들고 Modelsim을 이요해서 결과 값을 ... S의 결과 값이 서로 일치하는지 Modelsim과 Quartus 를 이용하여 증명한다.2) code를 입력하여 simulation을 실행한다.다음 코드에서 입력 값은 A,B,C이고
    리포트 | 7페이지 | 1,000원 | 등록일 2014.01.05 | 수정일 2014.09.30
  • 논리회로실험 15주차 결과보고서
    Quartus 에서 Schematic을 작성한다.? ... Quartus 에서 Schematic을 작성한다.(13주차 종합설계프로젝트와 동일하게 마지막 15주차 프로젝트를 진행하였습니다.)?
    리포트 | 5페이지 | 1,000원 | 등록일 2014.01.05 | 수정일 2014.09.30
  • 논리회로실험 12주차 결과보고서
    Quartus 에서 ALU의 회로를 작성하고 Modelsim의 결과 파형을 확인한다.? ... Quartus 에서 ALU의 회로를 작성하고 Modelsim의 결과 파형을 확인한다.? ... Quartus 에서 ALU의 회로를 작성하고 Modelsim의 결과 파형을 확인한다.앞의 실험 2-1과 같은 형태이다. (입력과 출력 값만 다른 실험)?
    리포트 | 7페이지 | 1,000원 | 등록일 2014.01.05 | 수정일 2014.09.30
  • 논리회로실험 15주차 결과보고서
    Quartus 에서 Schematic을 작성한다.(13주차 종합설계프로젝트에 Encoder block을 추가하여15주차 프로젝트를 진행하였습니다.)?
    리포트 | 5페이지 | 1,000원 | 등록일 2014.01.05 | 수정일 2014.09.30
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 17일 화요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
12:31 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대