• 통큰쿠폰이벤트-통합
  • 통합검색(35)
  • 자기소개서(21)
  • 리포트(14)

"fpga 종합설계" 검색결과 1-20 / 35건

  • 삼성전자 메모리 회로설계 합격 자기소개서
    또한, 스테레오 비전을 위한 하드웨어 가속기 회로 설계FPGA를 이용한 검증 연구를 수행했습니다.두 번째로 프로젝트를 진행하며 문제를 해결하는 능력을 갖췄습니다. ... [찾으면 나오는 답, 포기하지 말자]'종합설계프로젝트'를 진행하며 처음 도전하는 영상처리 분야였지만 포기하지 않고 연구하여 실시간으로 특정 물체를 추적하는 알고리즘을 구현하여 추적 ... ]반도체 설계 직무는 전자제품에서 가장 중요한 반도체를 설계하기 위해 회로를 설계부터 양산을 위한 연구를 수행하는 직무라고 생각합니다.
    자기소개서 | 4페이지 | 3,000원 | 등록일 2023.02.06
  • 2023상반기 현대자동차 R&D 합격 자소서
    발생하는 에러들을 word파일로 정리하여 동일 에러 발생 시 빠르게 해결 했습니다.2) 디지털 회로실험 A0 : 한 학기동안 FPGA구조를 설계했습니다. ... 그 결과, 이전 대비 160% 향상한 신뢰도를 가지는 군집으로 나누는 데 성공했고, 전체 120명의 참가자 중 20등 이내의 종합평가 성적으로 우수 참가자 상을 수상하였습니다.이 같은 ... 이후 제어시스템 설계, 머신러닝 과목을 이해하는 기반을 다졌습니다.5) 논리회로 A+ : 플리플랍, 카운터 등을 설계하며 논리소자에 대한 기초를 학습했습니다.
    자기소개서 | 3페이지 | 3,000원 | 등록일 2023.07.12
  • 연세대학교 일반대학원 컴퓨터과학과 학업계획서
    저는 컴퓨터공학부에 재학하면서 오픈소스SW개론, 컴퓨터공학종합설계, 전자상거래, 공학수학1,2,3, 인터넷프로그래밍, 컴퓨터기반선형대수, 오퍼레이팅시스템, 시스템분석, 기계학습, 컴파일러 ... CT 데이터를 사용하여 줄무늬 아티팩트 감소를 위한 약한 지도 학습 연구 등을 하고 싶습니다.저는 또한 멀티스레딩 컴퓨팅 환경을 위한 STT-MRAM 기반 Multi-Context FPGA
    자기소개서 | 1페이지 | 3,800원 | 등록일 2023.09.24
  • LGCNS 하드웨어직 인턴 합격자소서
    프로그래밍기초와실습', '전자전기프로그래밍', '논리회로설계실습' 등의 프로그래밍 과목을 통해 SW에 대한 기초를 다져왔고, 현재는 '종합설계프로젝트' 과목을 수강하며 C언어 기반의 ... FPGA Logic design 실습을 진행하면서 컴퓨터구조와 어셈블리어에 대해 배울 수 있었고, 학습한 내용을 키트 위에서 시연해 볼 수 있었습니다. ... 마이크로프로세서를 설계하고 있습니다.
    자기소개서 | 2페이지 | 3,000원 | 등록일 2023.11.13
  • 한화시스템 방산 자기소개서+면접질문 [취업 완벽대비]
    그 외에도 전기회로실험, 디지털시스템실험, 전자회로실험, 종합설계 등의 실습과목을 통해 배운 지식을 응용하여 실전에 적용하며 프로젝트를 진행해 보았습니다. ... 프로젝트에서 FPGA 탑재 테스트보드, 아두이노, 오실로스코프, 신호발생기 등의 장비를 사용하며 전자공학도로서 장비를 사용하여 하드웨어 설계를 담당할 수 있는 역량을 키웠습니다. ... ‘지뢰찾기’게임을 주제로 선정하여 콤보 보드에 탑재된 FPGA와 다양한 입출력 장치에 베릴로그 코딩을 통해 이를 구현하는 것이었습니다.
    자기소개서 | 4페이지 | 3,000원 | 등록일 2021.02.02
  • LG유플러스 서비스/디바이스 개발 직무 합격 자기소개서
    [위기탈출 No.1]저는 4학년 종합설계로 실내 위치 추정 시스템을 설계하였습니다. ... 이러한 문제해결능력과 더불어 Line Tracer 설계, FPGA를 이용한 오목 설계를 진행하면서 다양한 경우의 수를 고려해야 하는 설계에서 창의적인 해결능력과 위기 대처능력을 기를 ... 하지만 설계를 진행하면서 측위 정확도 확보를 위해 저는 세 가지의 방법을 Test 해보았으나, 여러 문제로 비콘만으로는 측위가 어렵다는 결론을 얻었습니다.
    자기소개서 | 2페이지 | 3,000원 | 등록일 2020.11.22
  • 현대오트론 R&D(연구개발)직 합격자소서 입니다
    Verilog HDL을 이용한 택시미터기 설계를 학부생 때 진행했었고, 대학원에 진학해서 앞서 언급한 스테레오 매칭 알고리즘을 FPGA를 이용해 검증해 보았으며, In-DRAM ECC ... 싶습니다.3.도전적인 목표를 세우고 무언가를 성취해본 경험과 그 목표를 이루기 위한 과정을 서술해주세요 (최소 300자, 최대 800자 입력가능)[찾으면 나오는 답, 포기하지 말자]'종합설계프로젝트 ... 학부생 때 다목적 추적 로봇 설계를 위한 추적 알고리즘 설계를 직접 수행하며 영상처리에 대해 관심을 두게 되었습니다.
    자기소개서 | 3페이지 | 3,000원 | 등록일 2023.12.01
  • 인하대 VLSI 설계 Microprocessor 프로젝트 결과보고서
    따라서 Pass Tr의 크기를 1, Latch NMOS의 크기를 2로 설계하였다. ... 나머지는 0으로 출력이 잘 나오는 것을 알 수 있다.Ex) 입력: 이면 출력: 8’b0100_0000, 입력: 이면 출력: 8’b0000_0100위 Magic 시뮬레이션 결과는 FPGA이용한 ... 위 내용들을 종합하여 구현한 ALU 전체 Layout은 [Fig 9.12]이다.OP_ALU가 1일 때 뺄셈, OP_ALU가 0일 때 덧셈을 하는 것을 확인할 수 있다.
    리포트 | 52페이지 | 3,000원 | 등록일 2023.03.15 | 수정일 2023.05.10
  • 현대모비스 SW직무 최종합격 자소서
    (최소 500자, 최대 1,000자 입력가능)910/1000 (글자 수, 공백 포함)[곤충의 시각신경망을 이용한 충돌감지 시스템 설계]종합설계 프로젝트에 참가했습니다. ... 프로젝트는 알고리즘을 선정하고 C++로 검증한 뒤 verilog로 코딩하여 FPGA 보드에 올리는 순으로 진행했습니다. ... 여러 논문을 검토한 뒤 곤충의 시각 신경 알고리즘으로 충돌감지 시스템을 설계하기로 했습니다.
    자기소개서 | 3페이지 | 4,000원 | 등록일 2023.06.11 | 수정일 2023.06.13
  • (합격자소서)삼천리 시스템 엔지니어
    기술하시오.1)목표 및 실행과정, 장애요인 및 극복방법(띄어쓰기 포함 800 자 이내) 800자-'성취'의 의미를 조금이나마 알았습니다.4학년 1학기 때, 전자공학실험 수업으로 FPGA기반 ... 환경까지 미래를 창조하는 삼천리'가 될 수 있도록 행동하고자 합니다.둘 째, 1955년 '삼천리 연탄 공업사'에서 출발한 삼천리는 각종 에너지 사업을 펼치며 이제 대한민국을 대표하는 종합 ... 생각이 막혔을 때는 교수님께 조언을 구하며 프로젝트의 첫번째 설계를 완성시켰습니다.
    자기소개서 | 5페이지 | 3,000원 | 등록일 2020.12.31
  • [텍사스인스트루먼트코리아 Field Applications Engineer 합격 자기소개서] 자기소개서 자소서, 자기소개서, 자소서, 합격자기소개서, 합격 자기소개서, 합격자소서, 합격자기소개서,합격자소서,기업 자기소개서, 기업 자소서, 기업자기소개서, 기업자소서, 취업 자소서, 취업 자기소개서, 면접 자소서, 면접 자기소개서, 이력서
    하지만 이런 공학용 계산기 매출은 전체 매출의 4% 정도밖에 되지 않고, 아날로그 IC 종합 솔루션 및 임베디드 칩을 주력으로 설계, 생산 및 판매하는 B2B의 사업모델을 가진 회사라는 ... LED 장치를 사용하는 과정에서 데이터 형식을 맞추기 위해 전류를 x축과 y축 대응 쌍에 흘려보며 데이터를 수집하고 Decoder를 구현하였습니다.구현한 모듈을 합쳐 전체 시스템을 FPGA에 ... 자연스럽게 레이아웃 설계 후 이를 구현하는 공정에도 관심이 생겨 교내 “반도체 8대 공정설계” 특강을 수강하며 회로설계와 공정에 어떠한 밀접한 관련성이 있을까 흥미를 가지게 되었습니다.나아가
    자기소개서 | 12페이지 | 3,000원 | 등록일 2023.02.11
  • 논리회로실험 15주차 결과보고서
    Quartus Ⅱ에서 Schematic을 작성한다.(13주차 종합설계프로젝트와 동일하게 마지막 15주차 프로젝트를 진행하였습니다.)? ... 만약 clock divider 없이 FPGA에 프로그래밍 했을 경우에는 어떤 일이 벌어지는가? ... (Wave로 파형을 분석하고자 할 때에는 이 블록을 필요로 하지 않지만, 합성을 통해서 FPGA사용 시에는 필요하다.)
    리포트 | 5페이지 | 1,000원 | 등록일 2014.01.05 | 수정일 2014.09.30
  • 마이다스IT 자기소개서
    이 두 과목은 모두 VHDL로 FPGA설계하는 수업 이였습니다. 논리회로설계 수업 때 배우는 여러 가지 설계를 코딩으로 만든다는 게 신기하고 재밌었습니다. ... 인공지능, 알고리즘, 신호 및 시스템, 프로그래밍, 운영체제, 기초회로설계, FPGA 디지털 설계 등 하드웨어와 소프트웨어를 골고루 학습하였습니다. 4학년 때에는 수업시간에 배운 내용을 ... 인공지능, 알고리즘, 신호 및 시스템, 프로그래밍, 운영체제, 기초회로설계, FPGA 디지털 설계 등 하드웨어와 소프트웨어를 골고루 학습하였습니다. 4학년 때에는 수업시간에 배운 내용을
    자기소개서 | 4페이지 | 8,000원 | 등록일 2018.03.29
  • 종합설계 신호등 제어 중간보고서
    정보표시 보행자 신호기 information and display of traffic light*팀원 소개 설계 동기와 목적 이론 종합 설계 방향 설계 과제 서론 H/W 블럭도 순차 ... CPLD(FPGA), LCD, Regulator 등 각 device 이해 : 반도체 및 전자회로 기초지식 습득. 2. ... ASIC의 Gate Array 방식을 도입 수천에서 수백만 Gate를 현장에서 간단하게 Programming 할 수 있도록 개발된 FPGA(Field Programmable Gate
    리포트 | 21페이지 | 3,000원 | 등록일 2009.07.20
  • 실험2 제05주 Lab03 Pre Gate Primitive & Behavioral Modeling
    설계한 1-bit Full adder를 Planahead program을 통해 원하는 Pin number를 입력해준다.② Configure Device(iMPACT)를 통해 FPGA에 ... SummarizeLab 1의 실험 결과를 종합하여 요약하면, Logic gate circuit을 바탕으로 XOR gate와 AND gate, 그리고 OR gate로 이루어진 1-bit ... 이어서 Lab 2의 실험 결과를 종합하여 요약하면, Logic circuit에서 Output을 Input에 대하여 Boolean Algebra로 표현되는 식을 바탕으로 1-bit Full
    리포트 | 8페이지 | 1,500원 | 등록일 2014.03.11 | 수정일 2014.03.17
  • 2017상반기 한전 KDN 전산직 자기소개서
    전공수업 이였던 ‘컴퓨터종합설계’라는 과목을 들었었는데 이 과목은 팀으로 프로젝트를 수행하는 과목 이였습니다. ... VHDL(VHSIC Hardware Description Language)로 FPGA(field-programmable gate array)를 설계하는 전공수업 이였는데 저희 팀은 ... 어디서 잘못 된 것인지를 찾을 수가 없어서 처음부터 설계를 다시하고 코딩을 해야 했습니다.
    자기소개서 | 4페이지 | 8,000원 | 등록일 2017.02.08 | 수정일 2017.10.03
  • 2017 한화시스템 SW직 자기소개서
    제가 맡은 역할은 FPGA 설계를 통해 자동차제어부분을 프로그래밍 하였고, 라즈베리파이에서 Python으로 이미지처리를 하고, 스레드를 구성하여 서버와 메인프로그램을 만들고, 어플리케이션과 ... SW신입사원한화시스템 2017 상반기 채용원서접수 : 4월5~18일(오후3시)채용전형 : 서류전형-1차 실무면접(5배수)-2차 임원면접(2~3배수)-건강검진모집분야 : HW, SW, 품질, 종합군수지원 ... 작년에는 ‘FPGA와 라즈베리파이를 이용한 지능형 자동차’라는 주제를 가지고 한이음ICT공모전에 참가하였습니다. 멘토가 지정되어 1년 동안 프로젝트 관련하여 멘토링을 하였습니다.
    자기소개서 | 4페이지 | 4,500원 | 등록일 2017.05.01
  • 네이버, 신입사원, 공채, NAVER, SW직, SW연구직, SW개발직, 자소서, 자기소개서, 취업
    , 아두이노, 라즈베리파이 등 하드웨어와 소프트웨어를 종합적으로 설계하는 것에 관심을 갖게 되었습니다. ... 가장 관심 있는 분야는 임베디드 시스템인데, 그 이유는 2학년 때부터 지도교수 연구실에서 임베디드에 관련된 지식을 쌓으면서, 프로젝트를 할 때 프로그래밍만 하는 게 아니라 AVR, FPGA ... 이 프로젝트는 아두이노를 통해 간단하게 홈 IOT 시스템을 구축해보았습니다.Python으로 했던 프로젝트는 지금도 진행 중이지만 FPGA(field-programmable gate
    자기소개서 | 3페이지 | 6,000원 | 등록일 2016.11.24 | 수정일 2018.05.18
  • 2017 상반기 SK하이닉스 솔루션직군 자기소개서
    저희 팀은 FPGA 개발키트를 이용하여 은행 ATM기기를 설계하는 것 이였습니다. ... 이 두 과목은 모두 VHDL로 FPGA설계하는 수업 이였습니다. 논리회로설계 수업 때 배우는 여러 가지 설계를 코딩으로 만든다는 게 신기하고 재밌었습니다. ... 그리고 컴퓨터공학종합프로젝트(캡스톤)과목에서 VHDL과 라즈베리파이를 이용하여 자율주행차를 만들어 보고 공모전에 참가하여 특허도 출원해 보았습니다.
    자기소개서 | 4페이지 | 6,000원 | 등록일 2017.02.08 | 수정일 2017.09.21
  • 논리회로실험 15주차 결과보고서
    Quartus Ⅱ에서 Schematic을 작성한다.(13주차 종합설계프로젝트에 Encoder block을 추가하여15주차 프로젝트를 진행하였습니다.)? ... 블록을 이용하여 복잡한 로직회로를 설계하고 Modelsim과 FPGA 보드를 이용하여 회로를 검증하는 방법을 이해한다.? ... 실 험 목 적- Counter, Decoder, 7-Segment - LED driver 등 그동안 실험에서 배운 블록을 이용하여 회로 블록을 설계하여 본다.- 기본적인 디지털 로직
    리포트 | 5페이지 | 1,000원 | 등록일 2014.01.05 | 수정일 2014.09.30
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 19일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
8:37 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대