• 유니스터디 이벤트
  • 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(1,052)
  • 리포트(1,023)
  • 자기소개서(13)
  • 논문(9)
  • 시험자료(5)
  • 방송통신대(2)

"Register 회로 실험" 검색결과 1-20 / 1,052건

  • 디지털 회로 실험-시프트 레지스터
    디지털 회로실험실험11. 시프트 레지스터1. 목적-시프트 레지스터의 구성 방식을 이해한다.-시프트 레지스터의 동작 원리와 특성을 익힌다.2. ... -1. 74194 유니버셜 레지스터 회로를 구성하고 다음 실험을 하시오.실험순서2-2. 74194 유니버셜 레지스터 회로를 구성하고 다음 실험을 하시오.- 1번(CLR) 입력을 잠깐 ... 실험2는 74194 유니버셜 레지스터 회로를 구성하고 동작을 예상해보는 실험이다.
    리포트 | 9페이지 | 2,000원 | 등록일 2022.09.10
  • 논리회로설계실험 8주차 register 설계
    1) Objective of the Experiment(실험 목적)이번 실습에서는 8-bit register와 8-bit shift register를 structural modeling으로 ... 여기서 볼 수 있듯이, 8-bit shift register는 가장 오른쪽의 1-bit register의 output인 out[0]가 왼쪽 1-bit register의 input으로 ... 구현한다. w8 강의에서 배운 shift register의 behavioral modeling과 1-bit register module를 참고하여 구현할 수 있다.
    리포트 | 5페이지 | 3,000원 | 등록일 2023.09.11
  • [논리회로실험] 실험7. Shift Register 결과보고서
    고찰이번 실험에서는 Shift Right Register와 순환 레지스터를 직접 구현해보고 결과를 통해 truth table을 작성하고 시프트 레지스터의 특성을 알아보았다.실험 1의 ... 단일 IC칩 대신 플립플롭을 사용하여 회로를 구성했고 6 bit 시프트 레지스터이기에 플립플롭은 6개를 사용했다. ... 실험 과정 및 실험 결과1) 실험 1 : 6bit Shift Right Register- 6bit shift right register를 JK 플립플롭을 이용해 구성한다.- CLR을
    리포트 | 5페이지 | 1,000원 | 등록일 2023.05.27
  • [논리회로실험] 실험7. Shift Register 예비보고서
    Shift Register1. 실험목적1) 실험에 사용하는 7476, 7496 IC의 특성 파악한다.2) 시프트 레지스터의 동작 원리와 특성을 이해한다.2. ... 실험이론1) Shift Register와 n비트 레지스터- 시프트 레지스터는 일련의 연결된 플립플롭으로써 잠정적 데이터 저장 능력을 갖추도록 하여 클럭 펄스가 들어올 때마다 저장된 ... JK 플립플롭을 동시에 상승 펄스로 레지스터에 저장- Clear 신호는 클럭 신호가 enable 되기 전에 모든 레지스터를 0 상태로 만드는데 사용- 회로입력 1101이 클록 펄스에
    리포트 | 7페이지 | 1,500원 | 등록일 2023.05.27
  • 디지털회로실험 시프트 레지스터 결과보고서
    실험제목실험9. 시프트 레지스터학과전자공학과학년2학번조1이름1. ... 이 방식을 응용하여 직렬 통신 회로의 기초가 되는 회로가 된다.실험 2에서는 회로를 그대로 하되 J와{bar{K}}의 입력을{bar{Q _{D}}}에 연결하여 존슨 카운터 회로를 만들었다 ... 실험1에서는 IC 74195를 이용한 링 카운터회로를 만들었다.
    리포트 | 7페이지 | 3,000원 | 등록일 2021.04.16
  • 디지털회로실험 시프트 레지스터, 링카운터, 존슨카운터
    실험 과정실험 1) 시프트 레지스터(Shift Registers)-그림과 같이 회로를 결선한 후 CLK입력으로 함수발생기를 사용하여 0.2Hz의 주파수를 준다.- 2번 단자엔 5V를 ... 실험 목적실험1)시프트 레지스터(Shift Registers)의 구조와 동작특성을 이해하고 사용법을 익힌다.실험2,3)? ... 1) 시프트 레지스터(Shift Registers)시프트 레지스터는 2진식 정보를 좌 혹은 우로 shift 시킬 수 있는 레지스터이다.시프트 레지스터의 논리적 배치는 한 플립플롭의
    리포트 | 7페이지 | 2,000원 | 등록일 2023.10.24
  • 충북대 기초회로실험 쉬프트 레지스터 예비
    실험 17. ... 쉬프트 레지스터(예비보고서)실험 목적(1) 쉬프트 레지스터의 구조와 동작원리를 이해한다.(2) 쉬프트 레지스터를 이용한 카운터의 동작을 이해한다.이론멀티비트를 저장할 수 있는 플립플롭을 ... 레지스터(register)라 한다.
    리포트 | 3페이지 | 1,000원 | 등록일 2021.09.10
  • 충북대 기초회로실험 쉬프트 레지스터 결과
    이번 실험을 통해 디지털 공학에서 배운 쉬프트 레지스터가 실제 회로에서 동작하는 것을 확인할 수 있었다. ... 실험 17. 쉬프트 레지스터(결과보고서)실험 결과(1) SN7474를 이용하여 의 회로를 구성하라. 먼저 PRESET 단자를 잠시 0으로 하였다가 1로 놓아라. ... 쉬프트 레지스터의 구조와 동작원리를 이해하는 실험이었다.
    리포트 | 1페이지 | 1,000원 | 등록일 2021.09.10
  • 디지틀논리회로실험 11 시프트 레지스터 A+
    실험 3. 74164 TTL 만능 시프트 레지스터 실험회로토론 시프트 레지스터를 구성하는 실험을 했는데 , 이전까진 그저 왼쪽에서 오른쪽으로 , 왼쪽에서 오른쪽으로 이동하는 줄 알았던 ... 만들어 출력값을 관찰한다 . 74164 TTL 시프트 레지스터를 만들어 출력값을 관찰한다 . 74194 TTL 만능 시프트 레지스터 실험회로를 만들어 출력값을 관찰한다 . ... 실험 11. 시프트 레지스터실험 목적 플립플롭으로 어떻게 시프트 레지스터가 구성되어 있는지를 알아본다 . 입출력방식에 의한 분류에서 각 방식의 특성을 익힌다 .
    리포트 | 9페이지 | 3,000원 | 등록일 2019.11.30 | 수정일 2021.11.15
  • 기초전자회로실험 - FPGA Implementation of Shift Register (쉬프트레지스터) 예비레포트
    실험 제목 : FPGA Implementation of Shift Register2. ... 다차원 배열은 만들 수 없다.레지스터 (register):레지스터는 데이터를 저장하는데 사용하는 변수이다. ... 실험 장비 :1.
    리포트 | 12페이지 | 2,000원 | 등록일 2021.02.27
  • 아주대 논리회로실험 실험7 Shift Register 예비보고서
    :분 반:학 번:성 명:실험7 예비보고서- Shift Register -1. ... 실험 목적- 실험에 사용하는 소자(7476, 7496)의 특성을 파악한다.- Shift Register의 동작원리와 특성을 이해한다.2. ... 실험 이론1) Shift Register- Shift Register는 소자에 저장된 데이터를 왼쪽 또는 오른쪽으로 각 클럭의 틱마다 한 비트씩 이동시킬 수 있는 n-bit Register이다
    리포트 | 8페이지 | 1,000원 | 등록일 2021.05.07 | 수정일 2021.07.23
  • 기초전자회로실험 - FPGA Implementation of Shift Register (쉬프트레지스터) 결과레포트
    시뮬레이션 결과를 보면 out[0]의 플립플롭이 맨 오른쪽에, out[3]의 플립플롭은 맨 왼쪽에 배치되어 있을 때, 왼쪽방향으로만 쉬프트 하는 레지스터라 하면 위와 같은 실험 결과를
    리포트 | 2페이지 | 1,500원 | 등록일 2021.02.27
  • 서강대학교 디지털논리회로실험 8주차 - Shift Registers
    실험 목적Shift register의 구조와 동작원리를 이해하고, Multiplier 설계를 통해 shift register의 활용방법을 익힌다. ... 실험 이론● Shift registersShift registers는 개별적인 flip-flop들의 연결을 통해 구성되고 clock 신호의 입력에 따라 여러 FF로 구성된 register의 ... 그리고 4-digit 7-segment display의 구동원리를 이해하고 활용을 위한 회로를 설계한다.2.
    리포트 | 24페이지 | 1,500원 | 등록일 2024.08.17
  • 디지털 논리회로 실험 9주차 Shift Register 예비보고서
    디지털 논리회로 설계 및 실험예비보고서주제 : Shift Register소속: 공과대학 전자전기공학부수업: X X,X XXX 교수님 XXX 조교님제출 일자: 20XX년 X월 XX일 ... 실험 목적시프트 레지스터 (Shift register)의 동작 특성을 확인하고 기본적인 카운터를 구현한다.2. ... -bit Serial-in Parallel-out Shift Register 74164 역시 기본 실험 (1)의 4-bit Serial-in Parallel-out 시프트 레지스터처럼
    리포트 | 11페이지 | 1,500원 | 등록일 2021.04.22
  • 디지털 논리회로 실험 9주차 Shift Register 결과보고서
    디지털 논리회로 설계 및 실험결과보고서주제 : Shift Register소속: 공과대학 전자전기공학부수업: X X,X XXX 교수님 XXX 조교님제출 일자: 20XX년 X월 XX일 ... 특히, (2)의 실험에서 초기화 하는 과정을자세히 서술하시오.이번 실험에서는 Shift Register의 개념을 이해하고 그에 관한 회로를 구성하여 이론을 학습하고 응용하여 또다른 ... 회로들을 구현해보는 실험을 하였다. 4.1.1 실험은 4비트 직렬 입력 - 병렬 출력 레지스터로 2진 데이터를 저장하여 신호가 인가될 때 데이터를 오른쪽 방향으로 쉬프트(1비트씩 옮기는
    리포트 | 9페이지 | 2,000원 | 등록일 2021.04.22
  • 논리회로실험 A+예비보고서 7 Shift register
    실험 이론1) Shift Resister-매 클럭 주기로 모든 비트를 한 자리 옮기게 하는 레지스터이다. ... 실험 목적-실험에 사용하는 7476, 7496 IC의 특성을 파악할 수 있다.-Shift Resister의 동작 원리와 특성을 이해할 수 있다.2. ... 데이터를 시프트하기 위해서, W/S 제어신호는 1이고 레지스터는 클럭이 입력된다. 직렬-직렬 시프트 레지스터처럼 배열과, 데이터 입력처럼 D1은 동작한다.
    리포트 | 8페이지 | 1,000원 | 등록일 2020.10.09
  • [A+보고서] 회로실험 쉬프터 레지스터 예비보고서
    RLC 쉬프터 레지스터회로실험2 7주차 예비보고서? 실험 목적1. 쉬프트레지스터의 구조와 동작원리를 이해한다.2. 쉬프트레지스터를 이용한 카운터의 동작을 이해한다.? 이론1. ... 우 쉬프트 레지스터- 기억된 정보를 클럭펄스에 의하여 오른쪽으로 이동시킬 수 있는 레지스터- 에서 register1 : 1011 저장, register2 : 1010이 저장되어 있다고 ... 예비 과제(1) Latch, Flip flop 및 Register을 비교, 설명하라.① Latch : 회로가 간단하고 동기시키는 동작시간의 클럭이 1 혹은 0으로 유지되는 비교적 긴
    리포트 | 7페이지 | 1,500원 | 등록일 2022.12.24
  • [A+보고서] 회로실험 쉬프터 레지스터 결과보고서
    쉬프트 레지스터회로실험2 7주차 결과보고서? 실험 결과실험1. SN7474를 이용하여 의 회로를 구성하라. 먼저 PRESET 단자를 잠시 0으로 하였다가 1로 놓아라. ... 이 쉬프트 레지스터 회로는 모두 1의 결과를 나타내는 상태에서 하나의 0의 상태가 시간이 지날수록 값이 하나씩 밀리게 된다.실험 (5)는 SN74164를 이용해 회로를 구성하고 결과값을 ... 실험 (6)의 회로는 JK 플립플롭 4비트 우 쉬프트 레지스터이다. 플립플롭에 기억된 정보를 클럭펄스에 의하여 오른쪽으로 이동시킨다.
    리포트 | 4페이지 | 1,000원 | 등록일 2022.12.22 | 수정일 2024.07.21
  • 아주대학교 논리회로실험 / 7번 실험 Shift Register 예비보고서
    회로 결선도※ 이때, 지면상 그리지 못한 일부 출력에는 저항과 다이오드가 연결되어 있음을 가정한다.2. 실험 목적본 실험에서는 Register Shift에 대해 다룬다. ... 또 CPU에서 계산된 결과는 임시 레지스터에 저장됐다가 모니터와 같은 출력장치를 구동하는 회로로 보내진다.Shift Register (쉬프트 레지스터)직렬입력 ? ... 실험 이론Register (레지스터)레지스터 종류레지스터 쉬프트의 예시1비트를 저장할 수 있는 플립플롭을 여러개 배열하여 적당히 연결해서 여러 비트로 구성된 2진수를 저장할 수 있게
    리포트 | 5페이지 | 1,000원 | 등록일 2021.07.20
  • 아주대학교 논리회로실험 / 7번 실험 Shift Register 결과보고서
    고찰본 실험은 플립플롭(Flip-Flop)의 응용인 레지스터(Register)의 작동원리를 이해하고, 동작을 확인해보는 실험이다. ... 수 있게 만든 것을 레지스터(Register)라고 한다. ... 7번 실험 결과 보고서전자공학과 / 학년 / 학번 : / 이름 :날짜 : / 담당조교님 :실험 7. Shift Register1.
    리포트 | 7페이지 | 1,000원 | 등록일 2021.07.20
AI 챗봇
2024년 09월 04일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
1:08 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대