• 통큰쿠폰이벤트-통합
  • 통합검색(580)
  • 리포트(564)
  • 시험자료(12)
  • 서식(3)
  • 자기소개서(1)

"STOP-WATCH" 검색결과 1-20 / 580건

  • 전자회로실험 - Digital Stop Watch1
    --------------------------------------------------------------------Digital Stop Watch--------------- ... -----------------------------------------------------분 반 : 0반조 : 0조학 번 : 0000000000이 름 : ㅇ ㅇ ㅇ제출일자 : ... 실험결과(1) 실험 1 : Fixed-Bias인가전압VdId=Vr/RVrId00000.05211.00162.07E-090.0000003310.04766722.00176.53E-090.0000010440.0433332.42.40134.72E
    리포트 | 7페이지 | 1,500원 | 등록일 2013.05.16
  • 전자회로실험 - Digital Stop Watch2
    실험제목 : Digital Stop Watch2. 학번, 반, 조, 이름 : 0000000000 0반 0조 ㅇㅇㅇ3. 제출일 : 2010년 10월 13일 수요일4. 실험목적? ... J-L FlipFlop과 And게이트를 이용해서 시작/정지버튼을 만들어보자? D-FlipFlop을 이용해서 현재값을 저장하고 FND로 출력해보자.? ... Dual D-flipflop칩 4개로 구현한다.
    리포트 | 9페이지 | 2,000원 | 등록일 2013.05.16
  • VHDL - 디지털 스톱워치(Digital Stop Watch) 프로젝트
    Stop Watch1. ... 개요- Digital Stop Watch는 정확하게 시간을 멈출 수 있고, 시간을 숫자로 표시해 주기 때문에 보기 쉬운 장점이 있어 Analog에 비해 활용도가 높고 Digital ... (月)< 목 차 >◎ 개 요 - - - - - - - - - - - - - - - - - - - - - - - - - - 3 page◎ 목 표- - - - - - - - - - -
    리포트 | 19페이지 | 2,000원 | 등록일 2011.03.01 | 수정일 2021.07.04
  • 논리회로 설계-Stop watch설계
    카운트 되는 것을 보여준다· MOD 6 실뮬레이션그림 MOD 6 실뮬레이션0-5까지 카운트 되는 것을 보여준다.그림 STOP WATCH 회로(4) 기능이 검증된 각 블록을 MyLogic을 ... Lab 2-2 논리회로설계 - 스톱워치 설계(1) 그림 3-1의 스톱워치부의 각 블록을 논리설계한다.(2) MyLogic을 이용하여 설계한 각 블록의 schematic을 완성한다.· ... 사용하여 심볼화 하여 그림 3-1과 같이 전체회로 로 구성하여라(5) 설계된 전체 논리회로에 대해 MySim으로 실뮬레이션하여 설계를 검증한다.
    리포트 | 3페이지 | 2,000원 | 등록일 2008.12.03
  • 건국대학교 마이크로프로세서응용 Lab7 A+
    : 1/100 Stop Watch with Stop/Go function(SW5) and Reset function(SW4);****************************** ... of 1/100 Stop Watch;*****************************************************Ext_Int5:cliin SREG2, SREGcp ... 마이크로프로세서응용(3316)HW7Lab 7#7-1 : 7 Segment Timer with Reset#7-1 소스 코드 :;*******************************
    리포트 | 17페이지 | 5,000원 | 등록일 2024.04.11 | 수정일 2024.04.22
  • 실험4 Digital Clock 프로젝트 보고서
    빠져나왔을 때 1번만 실행Stop Watch가 일시정지일 때 Switch 4를 누를 시 진입Switch 4를 누를 때 마다LAP_INDEX 순환(0-1-2-3-0-)LAP_INDEX ... 그 결과 모드0은 Basic_Clock, 모드1은 Stop_Watch, 모드2는 Alarm, 모드3은에 마지막 시간 저장LAP_INDEX, STOP_FLAG2 clearwhile문을 ... 이를 통해 LAP_INDEX에 맞게 저장된 LAP_TIME을 확인할 수 있다.Stop Watch가 일시정지일 때 Switch 5를 누를 시 진입LAP_TIME 배열 모두 초기화그 밖의
    리포트 | 25페이지 | 5,000원 | 등록일 2020.01.02 | 수정일 2021.12.01
  • 논리회로실험 프로젝트 2, stop watch 설계
    논리회로설계실험 프로젝트 #2Stop watch 설계1. ... 그림에서 볼 수 있듯이 Q’의 값이 입력 값 D로 피드백을 해주면서 주파수가 입력 주파수의 반이 되는 것을 볼 수 있다.(8) Stop Watch- stop watch는 초보다 더 ... 여기서 reset 버튼을 추가하여 시간을 초기화하기도 한다.Stop Watch의 디지털 화면- 아날로그 방법과 디지털 방법이 있으며, 본 프로젝트에서는 디지털 방법이 사용되며, 6개의
    리포트 | 14페이지 | 1,500원 | 등록일 2021.10.01
  • 축의 비틀림 진동수의 측정
    실험 결과실험값[Hz]이론값[Hz]상대오차[%]Stop watch1.821161.8652.35가속도계1.851.8650.85. ... 참고문헌- 기계공학실험 (기계진동학 실험 교재)- 공학도를 위한 정역학- mechanics of materials- 여러가지 물체의 관성 모멘트 Hyperlink "https://mathphysics.tistory.com ... 더하여, 물체의 밀도 또한 모든 곳에서 일정하다는 이상적인 가정하에 계산을 했기 때문에, 이 또한 오차에 영향을 주었을 것으로 예상된다.2) 실험 시 측정 오차실험값 중 stop watch
    리포트 | 8페이지 | 3,500원 | 등록일 2023.04.25
  • 홍익대학교 실험4 마이크로프로세서 실험 프로젝트
    Digital Clock, Stop Watch, Alarm, Down Count Timer를 구현하고 그 동작 및 기능을 분석하고 이해한다.기능- 총 4가지 Mode가 존재하며, ... Button 1을 이용하여 Mode를 변경할 수 있다.Mode 0 : Digital Clock가장 기본적인기능으로써 Timer를 이용한 디지털 시계이다.Mode 1 : Stop WatchTime을 ... 실험 목적-Microprocessor 실험 및 설계 과목에서 배운 내용들을 활용하여 AVR Processor 기반Microcontroller Unit인 ATMEGA 128 라이트 모듈로
    리포트 | 9페이지 | 6,000원 | 등록일 2020.01.06
  • 음주보건계획서
    음주운전⑤ STOP TWO STOP!! ... -https://www.youtube.com/watch?v=YKmvabTakgg[ 음주관련교통사고 동영상 ]-https://blog.naver.com/mmh826? ... -https://blog.naver.com/mohw2016/221071946541[ 생활 속 절주 수칙안내 ]- 절주노트 (부록 참고)-https://www.youtube.com/watch
    리포트 | 7페이지 | 2,000원 | 등록일 2020.07.13 | 수정일 2021.11.13
  • 레이놀즈수 측정 결과 보고서
    상임계 레이놀즈수는 이론값 4000이 비해서 전체적으로 오차가 -값이 많이나왔으며, 평균값은 약-1.995%오차가 발생했으며, 하임계 레이놀즈수는 이론값 2100에 비해서 전체적으로 ... Watch로 유량을 정확히 측정한다.위의 절차를 5회 이상 반복하면서 결과를 측정한다. ... Watch로 유량을 정확히 측정한다.위의 절차를 5회 이상 반복하면서 결과를 측정한다.
    리포트 | 8페이지 | 1,000원 | 등록일 2020.06.03 | 수정일 2020.06.06
  • 유체 서킷
    3.실험3-1실험준비물: Fluid Circuit Apparatus, Mass Cylinder,Stop Watch3-2 실험방법1) 물을 탱크에 채운다.2) 전원을 켜고 유량 ... 1.목적-비압축성유체가 관내를 흐를 때 발생하는 압력의 강하를 이해한다.-흐름에서 유속과 마찰계수, 레이놀즈수, 조도, 수두손실의 관계를 실험을통해 알아본다. ... -마찰계수, 레이놀즈수, 유속, 압력강하 등의 유체관계식들을 이론적으로 검토하고 실험결과와 비교, 분석하여 본다.
    리포트 | 14페이지 | 1,500원 | 등록일 2020.10.07 | 수정일 2020.10.09
  • 홍익대학교 실험4 프로젝트 최종 보고서
    라이트 모듈로 Digital Clock, Stop Watch, Alarm, Down Count Timer 을 구현하고 그 동작 및 기능을 분석하고 이해한다. ... 실험 개요 -실험 목적Microprocessor 실험 및 설계 과목에서 배운 내용들을 활용하여 AVR Processor 기반 Microcontroller Unit 인 ATmega128 ... -실험 기본 이론이 프로젝트에서는 입출력에 사용되는 GPIO, 스위치를 통한 INTERRUPT, 클럭을 통해 정확한 시간을 계산한 TIMER, PWM 출력을 위한 OCR 을 사용하였다
    시험자료 | 27페이지 | 8,000원 | 등록일 2020.06.15 | 수정일 2023.02.20
  • 마이크로 프로세서 시계 프로젝트
    때는 stop○SW2: Reset기능, stop일 때에만 동작하며, 누르는 동안 4자리가 0으로 초기화- 동작 사항: 실제 stop watch와 동일하게 동작●진행 상태에서 SW1을 ... 시작 시 모두 0을 표시●SW1, 2를 이용하여 Start, Stop, Reset을 구현○SW1: Start/Stop기능, 누를 때마다 Start, Stop을 반복하며 처음 시작할 ... Start & Stop 및 ResetⅠ.
    리포트 | 7페이지 | 1,500원 | 등록일 2020.04.29
  • 수원대학교 A+ 화학공학응용및실험 뉴튼 유체의 점도 결과레포트
    Stop Watch를 이용하여 시간을 측정하였다고 하나 매 실험마다 측정자가 달라서 반응속도에 의한 오차가 발생하였고, 반복 실험을 하지 않았다.3. ... 점도를 계산할 수 있다.이번 실험에서는 Ostwald Viscometer, 피펫 필러, Stop Watch, 항온조 등이 실험 장치로 사용되며, 증류수, 5%, 10%, 15%, ... 실험 장치 및 방법1) 실험 장치 및 재료① Ostwald viscometer② 항온조③ Stop Watch④ 피펫 필러⑤ NaCl⑥ Ethanol⑦ 증류수2) 실험 방법① 점도계를
    리포트 | 17페이지 | 3,000원 | 등록일 2024.01.17 | 수정일 2024.04.17
  • [동역학제어실험]실험 4-축의 비틀림 진동수의 측정
    - Stop-Watch를 이용하여 측정한 주기 및 진동수T`=0.5433[s]#f _{n} =1.8416[Hz]- 가속도계를 이용하여 측정한 비틀림 진동수f _{n} =`1.85[Hz ... 실험 결과중량추 사이 거리에 따른 질량 관성 모멘트 Stop-Watch를 이용한 주기 측정횟수10T [sec]15.7625.4935.4345.3755.3465.3275.3085.4495.50105.38평균5.433 ... {LEFT | 1.8803-1.85 RIGHT |} over {1.8803} TIMES 100=1.61(%)오차가 상당히 작은 것을 확인 할 수 있다. stop-watch로 진동수.
    리포트 | 17페이지 | 3,000원 | 등록일 2021.11.17
  • 영문 보고서 - 미국 인턴십 후기, 소감문
    This is MBPO’s opinion and also I agree with that.If I explain my works on ‘STOP the Access-A-Ride Fare ... Until now I have loved my works and working for External Affairs Deparment.One of them is ‘STOP the Access-A-Ride ... Whenever going to gorgeous bar I was just sitting down, watching my friends drinking beer and wine.
    자기소개서 | 4페이지 | 3,000원 | 등록일 2022.05.29
  • 교실영어 레포트(수업진행 영어대본)
    Electrical Equipments (전기기구 다루기)3) 마무리- Stop Working & Say Good Bye(끝인사)2. ... Chul-soo, turn off the lights.S : Why don't we watch the movie with the lights on? ... T : Please begin with "Step 1".S : O-kay.T : Today we're going to watch a romantic comedy called "You've
    리포트 | 6페이지 | 2,000원 | 등록일 2022.02.26
  • Reynolds Number 결과레포트 [A+]
    유체의 흐름 형태 관찰3) 유체의 유속 측정각 흐름 형태에서 실린더에 물 500ml를 채우는데 걸리는 시간을 Stop watch로 측정하였다.Figure 5. ... 잉크를 주입해주면 배관을 통해 흐르면서 아주 가는 필라멘트를 형성하는데, 이 필라멘트 모양을 통해 유체 흐름 유형을 알 수 있다.② Stop watchReynold’s Apparatus에서 ... 유체의 유량을 계산하는 식은 다음과 같다.Q`= {V} over {t} ---(1)1회2회3회4회5회층류V [m ^{3}]0.0005t [s]-56.66-56.34-56.96-54.57
    리포트 | 9페이지 | 2,500원 | 등록일 2024.09.10
  • 독일의 에너지 정책 (기후위기가 우선인가 탈원전이 우선인가) - 주한독일대사관과의 질의내용 포함
    Hyperlink "https://www.youtube.com/watch?v=EhAemz1v7dQ" https://www.youtube.com/watch? ... “Do we Need Nuclear Energy to Stop Climate Change?”, Kurzgesagt – In a Nutshell. (2021. 6. 1. ... 방문). https://yearbook.enerdata.co.kr/total-energy/world-consumption-statistics.html[3] 주독일대사관. 2017.
    리포트 | 5페이지 | 2,500원 | 등록일 2022.01.23
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
9:37 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대