• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(643)
  • 리포트(624)
  • 시험자료(14)
  • 서식(3)
  • 자기소개서(2)

"Stop Watch" 검색결과 1-20 / 643건

  • 랩뷰(LabVIEW) 스탑워치(Stop watch), 타이머 프로그램
    "랩뷰(LabVIEW) 스탑워치, 타이머 프로그램"에 대한 내용입니다.1) 랩뷰 기반으로 스탑워치를 구현한 자료입니다.2) 상태머신의 기본적인 내용이 반영되게 제작하였습니다.3) 자료 구성 - 랩뷰 파일(스탑워치): 내부에 상세히 설명되어 있습니다. - 프론..
    리포트 | 2,000원 | 등록일 2022.06.01
  • VHDL Stop Watch를 이용한 스톱워치 설계
    VHDL Stop Watch 설계 목차1............. 실험 내용 및 목적2............. 소스작성 및 분석3............. ... 다른 방법으로 SW_B는 STOP를 설정하였는데 STARTSTOP가 0이면 MSEC 기능에서 전체 부정이 되므로 동작이 안됩니다.
    리포트 | 7페이지 | 1,500원 | 등록일 2012.11.17
  • 아주대 논리회로실험 프로젝트 FPGA로 Stop Watch 만들기
    설계 목적- FPGA를 이용하여 Stop Watch를 구현해보는 것이다. ... Quartus2 프로그램을 이용하여 Stop Watch가 작동할 수 있는 회로를 구성한 뒤, FPGA를 사용하여 Bread Board와 7-segment를 이용하여 동작을 확인한다.2 ... 출력 값이 다시 입력으로 들어가는 feedbat/Stop, Lap/Reset 버튼 2개로 구성* 출력 : 7segment 5개를 사용하여 분, 초, 0.1초를 구현1) FPGA 내부에서
    리포트 | 15페이지 | 5,000원 | 등록일 2015.03.12
  • 전자회로실험 - Digital Stop Watch1
    --------------------------------------------------------------------Digital Stop Watch---------------
    리포트 | 7페이지 | 1,500원 | 등록일 2013.05.16
  • 전자회로실험 - Digital Stop Watch2
    실험제목 : Digital Stop Watch2. 학번, 반, 조, 이름 : 0000000000 0반 0조 ㅇㅇㅇ3. 제출일 : 2010년 10월 13일 수요일4. 실험목적?
    리포트 | 9페이지 | 2,000원 | 등록일 2013.05.16
  • 아주대 논리회로실험 설계 프로젝트 예비보고서(Stop Watch)
    설계 목적- FPGA를 이용하여 Stop Watch를 구현해보는 것이다. ... Quartus2 프로그램을 이용하여 Stop Watch가 작동할 수 있는 회로를 구성한 뒤, FPGA를 사용하여 Bread Board와 7-segment를 이용하여 동작을 확인한다.2 ... 설계 요소* 입력 : Start/Stop, Lap/Reset 버튼 2개로 구성* 출력 : 7segment 5개를 사용하여 분, 초, 0.1초를 구현1) FPGA 내부에서 클럭을 발생시킨
    리포트 | 7페이지 | 3,000원 | 등록일 2015.11.28
  • VHDL - 디지털 스톱워치(Digital Stop Watch) 프로젝트
    개요- Digital Stop Watch는 정확하게 시간을 멈출 수 있고, 시간을 숫자로 표시해 주기 때문에 보기 쉬운 장점이 있어 Analog에 비해 활용도가 높고 Digital ... Watch1. ... - - - - - - - - - - - 19 page◎ 소 감 - - - - - - - - - - - - - - - - - - - - - - - - - 19 pageDigital Stop
    리포트 | 19페이지 | 2,000원 | 등록일 2011.03.01 | 수정일 2021.07.04
  • 논리회로 설계-Stop watch설계
    · MOD 10 실뮬레이션그림 MOD 10 실뮬레이션0-9까지 카운트 되는 것을 보여준다· MOD 6 실뮬레이션그림 MOD 6 실뮬레이션0-5까지 카운트 되는 것을 보여준다.그림 STOP ... WATCH 회로(4) 기능이 검증된 각 블록을 MyLogic을 사용하여 심볼화 하여 그림 3-1과 같이 전체회로 로 구성하여라(5) 설계된 전체 논리회로에 대해 MySim으로 실뮬레이션하여
    리포트 | 3페이지 | 2,000원 | 등록일 2008.12.03
  • [디지털논리회로] dash Watch (STOP WATCH) VHDL로 설계하기[쿼터스]
    < DASH WATCH >목 차0. ... =>STOP,MATCH =);DP ... Dash Watch 시현 사진 ------------------------------813.
    리포트 | 30페이지 | 2,500원 | 등록일 2009.05.09
  • (디지털시스템설계)VHDL Digital Stop Watch 제작 계획서
    Project 내용 설명 입 - 출력장치 ( Input-Output Device ) Stop Watch 의 설계 조건 Stop Watch 동작 설계(1) Stop Watch 설계 조건 ... (0.00 초 ) 초기에 표시되는 값은 00 : 00 : 00 START_STOP BUTTON 가 눌러지면 시간이 증가함(3) Stop Watch 동작 설계 시간 증가 모드 1/100 ... Watch 동작 설계 vcc Switch Reset Switch Start Stop vcc 주파수 분주기 vcc Reset CLK Start_Stop Com0 Com1 Com2 Com3
    리포트 | 13페이지 | 1,000원 | 등록일 2010.12.01
  • [디지털회로실험] [쿼터스 / 베릴로그 언어(Verilog HDL) / DE2] 기말 프로젝트(Final Project) 스탑워치(Stop Watch) 제작
    mode_11(Stop_Watch) - stop_run에 따른 Stop_Watch 동작? ... mode_11(Stop_Watch) - lap_time 저장? ... Stop_Watch의 기능을 구현한 block이다. stop_run = 1이면 시간을 세면서Stop_Watch의 기능을 하도록 하고, stop-run = 0이면 계속 같은 값을 유지하도록구현했다
    리포트 | 22페이지 | 5,000원 | 등록일 2014.10.21 | 수정일 2016.06.15
  • [전자계열 (VHDL)] STOP WATCH (VHDL)
    - Key Check• clock과 reset을 제외하고 외부에서 들어오는 모든 신호( start, hour_up, hour_down, min_up, min_down )를 이 블록을 거치게 함• 5~10 clock이 한번의 입력이 되게 하고 10 clock 이상이 들어..
    리포트 | 8페이지 | 1,500원 | 등록일 2003.06.26
  • [에리카A+] 마이크로프로세서응용 Lab6 Stopwatch Using Timer
    .< EXERCISE 1 >본격적인 STOP WATCH 구현에 앞서 Exercise 1의 Timer0.c 파일을 실행하여 오실로스코프에 나타나는 Square Wave 파형을 관찰하고 ... 가장 초기에는 mode 값을 CLEAR 로 설정해놓고 버튼이 눌릴 때마다 mode 값을 START, STOP, CLEAR 순으로 번갈아가며 나타나게끔 해주었다.5. ... Source Code#define STOP 0#define START 1#define CLEAR 2#include #include int count = 0;int sec = 0;int
    리포트 | 16페이지 | 1,500원 | 등록일 2020.04.02 | 수정일 2020.08.26
  • 건국대학교 마이크로프로세서응용 Lab7 A+
    Watch with Stop/Go function(SW5) and Reset function(SW4);******************************************* ... of 1/100 Stop Watch;*****************************************************Ext_Int5:cliin SREG2, SREGcp ... 1(Start Mode)이었다면 r0(0)의 값을 넣어주어 Stop Mode가 되도록 해주었다.
    리포트 | 17페이지 | 5,000원 | 등록일 2024.04.11 | 수정일 2024.04.22
  • 실험4 Digital Clock 프로젝트 보고서
    그 결과 모드0은 Basic_Clock, 모드1은 Stop_Watch, 모드2는 Alarm, 모드3은에 마지막 시간 저장LAP_INDEX, STOP_FLAG2 clearwhile문을 ... 빠져나왔을 때 1번만 실행Stop Watch가 일시정지일 때 Switch 4를 누를 시 진입Switch 4를 누를 때 마다LAP_INDEX 순환(0-1-2-3-0-)LAP_INDEX ... 이를 통해 LAP_INDEX에 맞게 저장된 LAP_TIME을 확인할 수 있다.Stop Watch가 일시정지일 때 Switch 5를 누를 시 진입LAP_TIME 배열 모두 초기화그 밖의
    리포트 | 25페이지 | 5,000원 | 등록일 2020.01.02 | 수정일 2021.12.01
  • 논리회로실험 프로젝트 2, stop watch 설계
    논리회로설계실험 프로젝트 #2Stop watch 설계1. ... 그림에서 볼 수 있듯이 Q’의 값이 입력 값 D로 피드백을 해주면서 주파수가 입력 주파수의 반이 되는 것을 볼 수 있다.(8) Stop Watch- stop watch는 초보다 더 ... 여기서 reset 버튼을 추가하여 시간을 초기화하기도 한다.Stop Watch의 디지털 화면- 아날로그 방법과 디지털 방법이 있으며, 본 프로젝트에서는 디지털 방법이 사용되며, 6개의
    리포트 | 14페이지 | 1,500원 | 등록일 2021.10.01
  • 축의 비틀림 진동수의 측정
    실험 결과실험값[Hz]이론값[Hz]상대오차[%]Stop watch1.821161.8652.35가속도계1.851.8650.85. ... 더하여, 물체의 밀도 또한 모든 곳에서 일정하다는 이상적인 가정하에 계산을 했기 때문에, 이 또한 오차에 영향을 주었을 것으로 예상된다.2) 실험 시 측정 오차실험값 중 stop watch
    리포트 | 8페이지 | 3,500원 | 등록일 2023.04.25
  • 홍익대학교 실험4 마이크로프로세서 실험 프로젝트
    Watch, Alarm, Down Count Timer를 구현하고 그 동작 및 기능을 분석하고 이해한다.기능- 총 4가지 Mode가 존재하며, Button 1을 이용하여 Mode를 ... 변경할 수 있다.Mode 0 : Digital Clock가장 기본적인기능으로써 Timer를 이용한 디지털 시계이다.Mode 1 : Stop WatchTime을 기록하는 기능으로 Lap_time을 ... 목적-Microprocessor 실험 및 설계 과목에서 배운 내용들을 활용하여 AVR Processor 기반Microcontroller Unit인 ATMEGA 128 라이트 모듈로 Digital Clock, Stop
    리포트 | 9페이지 | 6,000원 | 등록일 2020.01.06
  • 레이놀즈수 측정 결과 보고서
    Watch로 유량을 정확히 측정한다.위의 절차를 5회 이상 반복하면서 결과를 측정한다. ... Watch로 유량을 정확히 측정한다.위의 절차를 5회 이상 반복하면서 결과를 측정한다. ... watch, 온도계유리관의 직경 : d=0.020[m]실험 방법Reynolds 수 측정장치에서 유량조절밸브를 잠그고 물을 유입시켜 저수 탱크에 물을 채운다.
    리포트 | 8페이지 | 1,000원 | 등록일 2020.06.03 | 수정일 2020.06.06
  • 음주보건계획서
    음주운전⑤ STOP TWO STOP!! ... )① GO ONE 거절할 때는 단호히② GO TWO 내 잔은 내가 사수③ GO THREE 한번 마시면 3일은 금주④ STOP ONE STOP! ... -https://www.youtube.com/watch?v=YKmvabTakgg[ 음주관련교통사고 동영상 ]-https://blog.naver.com/mmh826?
    리포트 | 7페이지 | 2,000원 | 등록일 2020.07.13 | 수정일 2021.11.13
AI 챗봇
2024년 09월 01일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
1:04 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대