• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(156)
  • 리포트(152)
  • 자기소개서(3)
  • 논문(1)

"Testbench 코드" 검색결과 1-20 / 156건

  • 자판기 베릴로그코드 테스트벤치(testbench) 포함 / Vending machine / Verilog code / 베릴로그코드 설명 결과보고서 포함 / ASIC 설계 / 논리회로 / 디지털 설계
    가격이 1000원 및 1500원인 콜라를 판매하는 자판기를 각각 Verilog code로 구현하였습니다.코드파일(.v)과 머신에 대한 설명 및 시뮬레이션 결과에 대한 파일(.docx ... )이 포함되어 있습니다.1500원 콜라 자판기의 경우 모델심 시뮬레이션에 필요한 testbench 코드 파일도 첨부되어 있습니다.
    리포트 | 3페이지 | 2,500원 | 등록일 2020.10.17 | 수정일 2020.10.22
  • 논리회로설계실험 5주차 Encoder 설계
    또한 testbench code를 직접 짜면서 4:2 Priority encoder를 테스트하는 코드를 짜보았는데, input과 output부분, module instantiation ... 마지막으로 설계한 module을 testbench code를 이용하여 Modelsim의 simulation을 이용하여 wave를 확인하였다. ... 마지막으로 구현한 모델링이 정상적으로 작동하는지 Testbench 코드를 직접 짜고, Modelsim의 simulation을 이용하여 출력 파형을 확인함으로써 4:2 priority
    리포트 | 6페이지 | 3,000원 | 등록일 2023.09.11
  • 8-bit Register&8-bit Shift Register verilog code/플립플롭을 이용한
    11주차 결과 레포트- 8-bit Register & 8-bit Shift Register -과목명 HDL응용설계담당교수제출일전공학번이름Module 코드testbench 코드Module ... 코드8-bit RegisterD_FF 하위 모듈8-bit Shift Registertestbench 코드8-bit Register8-bit Shift RegisterSimulation8
    리포트 | 5페이지 | 1,500원 | 등록일 2021.05.17
  • 고려대학교 디지털시스템실험 A+ 11주차 결과보고서
    Data Path 코드2. Data Path testbench토의이번 실험을 통해 컴퓨터가 폰 노이만 구조로 이루어져 있다는 것을 알게 되었습니다. ... 코드를 작성하면서 간단한 동작을 구현하는 데 매우 복잡한 코드가 필요하다는 것을 느꼈고, 한 글자의 실수로 아예 값이 출력되지 않는 경험을 많이 하였습니다.
    리포트 | 5페이지 | 2,000원 | 등록일 2023.06.21
  • 기초 Risc v 설계 코드와 검증 코드( RiscV 기계어 코드 파일 만는는 타스크 함수 포함)
    한다.)목차설계 코드( 최상위 모듈 top.v )검증 코드( testbench moduel:TB_top.v)출력파형(waveform)(작성자:탁형옥 2013.7.21)1.설계 코드 ... 기계어 코드를 테스트벤치에서 자동으로 생성하여 파일로 만들어 주는 기능을 수행하였고, 만들어진 인스트럭션 코드는 CPU에 의해 읽혀서인스트럭션 단위로 실행되어 그 결과를 waveform파형으로 ... `timescale 1ns / 1psmodule top(input clk);wire bra;wire [31:0] adder1_o,adder2_o;wire [31:0] pc_o,pc_out
    리포트 | 49페이지 | 10,000원 | 등록일 2021.11.05
  • 디지털 논리회로(디논), ModelSim을 이용한 VHDL설계 (4bit full adder, 4비트 전가산기)
    이때 시그널 C는 전가산기의 캐리 출력을 받아 다음 비트 가산기의 입력이 된다.Figure SEQ Figure \* ARABIC 2 4bit full adder를 구현한 코드Testbench ... 이때 fulladder_4의 내부 시그널 C로 객체들의 사이를 연결한다. ... 적고, X와 Cin은 not 연산을, Y는 srl연산을 각 신호들의 주기마다 실시하도록 했다.Figure SEQ Figure \* ARABIC 3 4bit full adder의 testbench
    리포트 | 7페이지 | 3,000원 | 등록일 2020.07.09 | 수정일 2021.10.21
  • ring counter, jhonson counter 결과레포트
    실험 결과-ringcounter code, testbench, simulation그림 2 ringcounter 0001그림 3 ringcounter 0010그림 4 ringcounter ... 0100그림 5 ringcounter 1000-jhonsoncounter code, testbench, simulation그림 7 jhonson 0001그림 8 jhonson 0011그림 ... 고찰이번 실험은 nexy4 board과 verilog code를 사용하여 ring counter, jhonson counter를 설계하고 실제로 board에 나타나는 결과를 확인하는
    리포트 | 4페이지 | 2,000원 | 등록일 2022.08.22
  • Verilog 언어를 이용한 Sequential Logic 설계 결과레포트
    실험 결과 module code testbench code simulation waveform3. ... 모듈 코드를 작성할 때 Flip-Flop의 Toggle 동작을 하강 에지에서 작동하도록 했는데, 테스트 벤치 코드에서 하강 에지와 입력 T가 변화하는 시각을 같게 설정하였다. ... SR Latch와 D Flip-Flop의 모듈 코드를 참고해 정해진 파형의 입력을 T Flip-Flop에 입력하였을 때 출력 Q가 작동하는 모습을 확인하는 과정으로 진행하였다.
    리포트 | 3페이지 | 1,000원 | 등록일 2022.11.06
  • 정보통신기초 설계
    [그림 1.2] [그림 1.1]의 Verilog code (module part)[그림 1.3] [그림 1.1]의 Verilog code(testbench part)[그림 1.2]는 ... [그림 2.2] module code[그림 2.3] Test bench code[그림 2.2], [그림 2.3]은 주어진 D-FF을 Verilog 코드로 나타낸 것이다. ... 주어진 회로의 module code이고 입력 S와 출력 Qbar을 nand게이트에 입력하여 Q를 출력하고 Q와 입력 R을 nand게이트에 입력하여 Qbar을 출력함을 보여준다. testbench에서
    리포트 | 6페이지 | 1,000원 | 등록일 2022.03.21
  • 논리회로설계실험 7주차 Flip flop 설계
    마지막으로 testbench code를 작성하여 직접 설계한 두가지의 flip flop이 정상적으로 작동하는지 Modelsim의 simulation을 이용하여 파형을 관찰함으로써 검증하였다 ... 주어진 skeleton code에서 clk는 10ns 마다 1->0, 0->1로 변한다. ... 마지막으로는 testbench 코드를 작성하여 Modelsim의 simulation 기능을 이용해 설계한 flip flop이 정상 작동함을 확인하였다.이 과정에서 CLK edge에서만
    리포트 | 6페이지 | 3,000원 | 등록일 2023.09.11
  • 논리회로설계실험 9주차 counter설계
    알 수 있다.3.4) Testbench이번 실습의 Testbench code는 교수님께서 언급하신 대로 강의자료에 업로드 되어있는 v파일을 그대로 사용하였다.4) Result(실행 ... 마지막으로는 testbench 코드를 통해 Modelsim의 simulation을 이용하여 출력되는 wave를 확인하고, 구현한 두 counter가 정상적으로 작동하는지 확인하는 과정을 ... code위의 wave는 강의자료에 제공되어 있는 D flip flop을 이용해서 structural modeling으로 Ripple counter를 구현하여 simulation을
    리포트 | 6페이지 | 3,000원 | 등록일 2023.09.11
  • 논리회로설계실험 6주차 D Latch 설계
    마지막으로 testbench code를 작성하고 D Latch의 네 가지 모델링의 파형을 확인하여 정확히 작동하는지 검증하는 과정을 거칠 것이다.2) Theoretical Approach ... 다만 testbench 코드에 초기값 Q 와 Q’을 지정해주지 않았기 때문에 wave에 X가 출력된다. ... 또한 설계한 4가지 방법이 정상적으로 작동하는지 testbench코드도 직접 작성하고 simulation을 하는 과정을 가졌다.
    리포트 | 5페이지 | 3,000원 | 등록일 2023.09.11
  • [전자전기컴퓨터설계실험2] A+ 서울시립대학교 전전설2 3주차 예비+결과(코드포함) Verilog HDL
    -Primitive Modeling, Behavioral Modeling 방법으로 회로를 설계하고 Testbench code를 활용하여 작동을 확인한다.나.이론적배경-Verilog ... 공백(blank)과 탭은 문자열에서 의미 있게 취급한다.2.주석(comment)⇨HDL 소스코드의 설명을 위해 사용되며, 컴파일과정에서 무시된다. ... 입력에 대한 DUT의 반응(response)을 관찰한다.다.Simulation1.1-bit Full Adder with primitive modeling method우선 아래와 같은 코드
    리포트 | 7페이지 | 2,000원 | 등록일 2021.03.26 | 수정일 2021.06.18
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab08(예비) / 2021년도(대면) / A+
    Prelab(1) [실습 5]를 위하여 binary to BCD conversion algorithm에 대하여 조사하시오.- BCD(Binary-coded decimal, 이진화 십진법 ... [실습 2] Design counter with PiezoSource codeTestbenchPIN testbench 시뮬레이션 결과c. ... 하시오.Source codeTestbenchPIN testbench 시뮬레이션 결과f.
    리포트 | 12페이지 | 2,000원 | 등록일 2022.07.16
  • 디시설, 디지털시스템설계 이론과제 8주차 인하대
    correctly.그림1 : module code그림2 : testbench그림2 : 이어지는 test benchShow and explain if timing diagram (waveform ... Attach Verilog HDL code and simulation result (waveform) from Vivado tool.그림 3 : waveform(코드는 문제 a에 넣었습니다 ... .)우선 module 코드부터 살펴보자. input으로 select 신호 s1, s0을 넣었고 left serial input, right serial input, clk, reset_n
    리포트 | 4페이지 | 1,500원 | 등록일 2021.08.31
  • 인하대 컴퓨터구조론 과제3 mips multicycle verilog
    특히, h8c030000와 같은 명령어 코드를 분석하여 어떤 명령어인지 구분하고, 각 명령어의 execution이 어떻게 진행 되는지 testbench를 통해 확인하였다. ... ALUOp와 function code의 결과에 대한 연산 동작은 다음 표와 같다.3. ... ALUControl.vALUControl 모듈에서는 main control에서 나온 ALUOp 코드와 function코드를 바탕으로 ALU 연산 동작을 결정한다.
    리포트 | 8페이지 | 2,000원 | 등록일 2021.04.01
  • SK하이닉스 회로설계직 합격자소서
    팀원은 RTL code, 저는 검증을 위한 testbench 및 FPGA 보드의 시나리오 작성을 맡아 설계를 진행했습니다.검증은 두 단계로 진행했습니다. ... PCB와 source code가 완성된 이후에는 30명의 인원을 모아 실험을 진행하며 데이터 수집 및 분석[관련 경험] 반도체분야 특허 기반 발명경진대회 은상[날짜] 2023-02- ... Testbench를 통해 6개 기능의 검증을 진행했습니다. 이때 오작동이 없다면 FPGA의 검증을 거치며 기능 수행을 확인했습니다.
    자기소개서 | 3페이지 | 3,000원 | 등록일 2024.02.11
  • LS R&D 합격자소서
    Verilog를 활용하여 RTL coding을 분석하고 시뮬레이션, 테스트 및 구현 업무를 진행하였습니다. ... 또한, 시퀀스 로직을 구현하여 이를 토대로 Testbench를 구성하였습니다. Testbench를 기반으로 SW testing을 수행한 경험이 있습니다. ... 학부시절에는 C/C++을 학습을 통해 관련 프로그래밍 언어의 기초를 학습할 수 있었습니다.둘째, Testing Tool 지식을 실무로 적용해 본 경험입니다.
    자기소개서 | 3페이지 | 3,000원 | 등록일 2021.08.16 | 수정일 2022.03.28
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab06(결과) / 2021년도(대면) / A+
    3) [실습 3] 실습2의 로직에서 다시 다음과 같이 coding을 바꾸면 어떤 동작이 일어나는지 실험하고 이유를 논하시오.Source codeTestbenchPin testbench ... 시뮬레이션 결과 설계한 4비트 병렬 데이터 저장/전송 회로의 동작을 확인하는 모습(2) [실습 2] 실습1의 로직에서 아래와 같이 coding을 바꾸면 어떤 동작이 일어나는지 실험하고 ... ] 다음 회로를 디자인 하시오.Source codeTestbenchPin testbench 시뮬레이션 결과 설계한 회로의 동작을 확인하는 모습4.
    리포트 | 18페이지 | 2,000원 | 등록일 2022.07.16
  • 논리회로설계실험 3주차 Adder 설계
    Objective of the Experiment(실험 목적)이번 실습에선 우선 1-bit full adder를 W3 강의에서 다룬 half adder의 구현방법과 skeleton code를 ... 따라서 8가지의 경우가 가능하다.4-bit full adder의 경우 과제에서 주어진 tb의 skeleton code에는 A_4BIT과 B_4BIT에 대한 test가 비어 있었다. ... 이 과정을 반복하여 S0, S1, S2, S3, 그리고 C4를 구할 수 있을 것이다.3) Verilog Implementations(코드 실행)3.1)1-bit full adder는
    리포트 | 8페이지 | 3,000원 | 등록일 2023.09.11
AI 챗봇
2024년 09월 02일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
3:43 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대