• 유니스터디 이벤트
  • 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(382)
  • 리포트(351)
  • 시험자료(27)
  • 논문(2)
  • 자기소개서(1)
  • 방송통신대(1)

"UP/DOWN counter" 검색결과 1-20 / 382건

  • 논리회로설계실험 10주차 up down counter설계
    이러한 특징을 이용하여 3-bit up-down counter를 Moore machine-style diagram으로 그려보았다.오른쪽의 diagram과 같이 current state에 ... 이러한 특징을 이용하여 3-bit up-down counter를 Moore machine-style diagram으로 그려보았다.오른쪽의 diagram과 같이 current state와 ... 1) Objective of the Experiment(실험 목적)이번 실습에서는 3-bit up-down counter를 Moore machine, Mealy machine으로 구현한다
    리포트 | 7페이지 | 3,000원 | 등록일 2023.09.11
  • 디지털실험 15예비 up/down counter
    하나씩 증가 또는 감소하여 세는 데 사용될 수 있는 카운터는 Up/Down counter라 한다. ... 이 Up/Down counter의 구조는 아주 간단하다. (a)에서처럼 각각의 플립플롭은 앞단의 플립플롭의 Q`(A`, B`, C`)로서 트리거 된다. ... 역시 책은 반대로 되어있다. up/ down counter문제/예비보고서 문제1.컨베이어 벨트에서 제품이 생산되어 랜덤하게 출하검사가된다.
    리포트 | 8페이지 | 1,000원 | 등록일 2014.09.30
  • FPGA VHDL up & down counter (업다운카운터)
    (0) := down; -- updown 이라는 변수를 만들고 up비트와 down비트를 합쳤다.if reset = '1'then count := "0000";elsif clk'event ... isport (reset, clk : in bit;up, down : std_ulogic;-- 아래의 updown 변수에 넣기 위해 in bit가 아닌 std_ulogic으로 선언seg_out ... , led_out : out std_logic_vector(7 downto 0));end entity updown_counter4;architecture arch_updown_counter4
    리포트 | 3페이지 | 1,000원 | 등록일 2013.06.23
  • 4bit binary up/down counter
    This IC is very similar to 4-bit binary up/down counte Synchronous Up/Down counters with Down/Up mode ... 5-bit binary up/down counter If I'd like to design 5-bit binary up/down counter, I must add fifth T ... When the up and down inputs are both 1, the circuit counts up. This counter is synchronous counter.
    리포트 | 10페이지 | 1,000원 | 등록일 2010.11.19
  • up/down counter
    하나씩 증가 또는 감소하여 세는 데 사용될 수 있는 카운터는 Up/Down counter라 한다. 또한 Up/Down counter라 한다. ... (a)(b)아래 그림에서처럼 Up/Down 카운터는 입력단에 counter upcounter 애주에 선택적인 신호를 연결해 줌으로서 두 동작을 실행할 수 있다.실험 방법 ;1. ... 이 Up/Down counter의 구조는 아주 간단하다. 아래 그림 (a)에서처럼 각각의 플립플롭은 앞단의 플립플롭의 Q(A, B, C)로서 트리거된다.
    리포트 | 6페이지 | 1,000원 | 등록일 2006.11.23
  • 디지털시스템 실험(동기식 up/down counter)+응용한 신호등 설계
    Up/down counter의 Verilog code이다2. 조교님이 올려주신 testbench code이다3. FPGA보드에 연결하여 Upcount부터 실행하여 보았다. ... /down Counter를 coding을 하였다.Reset버튼을 누르면 0000로 초기화가 되고 Up이 0이면 down count를 하고 1이면 up count를 하게끔 만들었다.다음으로 ... Green, yellow, red 순으로 바뀌는데 사이사이의 시간은 5초, 3초, 2초로 바뀌게끔 counter가 5번지나면 green에서 yellow로 바뀌는 이론을 이용하여 coding을
    리포트 | 3페이지 | 1,000원 | 등록일 2014.11.03 | 수정일 2016.11.10
  • 4bit up/down counter
    4bit up/down counter[회로도][시뮬레이션 결과][결과 분석]처음 MUX에서의 출력은 S신호가 0⇒B, 1⇒A가 출력되고, 그 출력을 입력으로 받는 MUX_FF는 rising ... counting을 하고, 1일 때는 DOWN counting을 한다. ... edge trigger로 동작하고, 4bit counter는 이 출력을 입력으로 받는다.
    리포트 | 2페이지 | 1,000원 | 등록일 2008.12.03
  • VHDL을 이용한 Simple up down counter설계
    in std_logic );end up_down_counter;architecture rtl of up_down_counter issignal count : std_logic_vector ... IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity up_down_counter ... (rising_edge(clk)) then if (up_down=`1`) then count
    리포트 | 4페이지 | 1,000원 | 등록일 2010.06.18
  • [asic] Asic_up down counter
    std_logic_vector(4 downto 0));end up_counter;-- architecture 선언architecture a of up_counter is-- signal을 ... ;--entity 선언entity up_counter is--clk과 vector를 이용하여 5bit의 c_out을 선언port(clk : in std_logic; c_out : out ... c_out을 선언port(clk : in std_logic; c_out : out std_logic_vector(4 downto 0));end down_counter;-- architecture
    리포트 | 3페이지 | 1,000원 | 등록일 2004.08.18
  • max plus II 를 이용한 4비트 Synchronous Up-Down counter 설계
    ** 4Bits Synchronous Up-Down counter 설계# JK FlipFlop(( Graphic Editor))((Waveform Editor ))# D FlipFlop ... (( Graphic Editor))((Waveform Editor ))느낀점d ff으로 up-down 카운터를 구현하는데 있어서, sel 신호를 0으로 주면 upcounter로 잘
    리포트 | 3페이지 | 1,000원 | 등록일 2007.10.07
  • [논리회로실험] 3bit up/down counter
    3bit up/down counter---------------------● 3bit up/down counter 블록도● 3bit up/down counter 진리표ABCXA+B+ ... ● 3bit up/down counter Timing 도● 회로도▲ JA부분▲ JB부분▲ JK 플립플롭 부분 ... C+JAKAJBKBJCKC00001111X1X1X10010X0X1X00100000X0XX110100X1XX101000010XX11X10110XX01X01100100XX0X111000XX1X11000011X11X1X1101X00X1X1010100X00XX11110X01XX11100101X0X11X1111X0X01X1110110X0X0X11000X1X1X1
    리포트 | 5페이지 | 1,000원 | 등록일 2002.12.22
  • 디시설, 디지털시스템설계 실습과제 8주차 인하대
    down counter를 cascadable하게 구현했다. ... N bit binary up/down counter그림 SEQ 그림 \* ARABIC 1 : 모듈구현 결과그림2 : waveform (eup = 1)그림3 : waveform (eup ... register file그림8 : 모듈구현 결과그림 9 : waveform결과분석 및 고찰첫번째 문제에서는 hierarchical 하게 카운터를 구현하기 위해서 N bit binary up
    리포트 | 6페이지 | 1,500원 | 등록일 2021.08.31
  • (완전 세세한 정리, 끝판왕) 시립대 전전설2 8주차 Lab08 예비 레포트 7-segment and Piezo Control, 전자전기컴퓨터설계실험2,
    ~ 127 로 표현하는 모듈설계 조건*코드가 자꾸 WARNING이 떠서 시뮬레이션을 확인할 수 없었다.code(6) 실습64-bit up-down counter의 출력 값을 FND ... 실험 방법(1) 실습1설계 조건4-bit up counter의 출력 값을 single FND에 표시1. upcounter 설계2. static 7 segment를 모듈화해서 사용codesimulation ... (2) 실습2설계 조건Design counter with Piezo 동작 검사1.TOP module 설정2.
    리포트 | 18페이지 | 2,000원 | 등록일 2020.07.28 | 수정일 2020.09.24
  • 인하대 fpga 4주차 latch, FF, counter보고서
    FPGA 4주차 보고서Gated D latchD_ Flip-flopRTL MAPtest_bench4bit-up counterRTL MAPtest bench4bit down counterRTL
    리포트 | 14페이지 | 3,000원 | 등록일 2020.07.07
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab08(결과) / 2021년도(대면) / A+
    counter 로직으로, up/down mode, reset, data load의 기능을 포함하며, [실습 5]에서 작성한 코드를 module instantiation으로 사용해 ... 시뮬레이션 결과 설계한 4-bit up counter의 동작을 확인하는 모습 (장비 동작 경우의 수가 많아 일부만 첨부)(2) [실습 2] Design counter with PiezoSource ... 장비 동작 확인 과정에서 single FND에 0~15까지(10~15는 각각 A, b, c, d, E, F로 표시) 차례로 count되는 up counter의 모습을 보였으며 reset
    리포트 | 17페이지 | 2,000원 | 등록일 2022.07.16
  • 업다운 카운터 verilog 설계
    counter(clk,reset,up_down,cnt_out);input clk,reset,up_down;output[3:0] cnt_out;parameter S0=4'b0000, ... BCD 카운터는 0에서 9까지 카운트하므로 앞에서 설계한 Up_down 카운터와 마찬가지로 10개의 상태를 정의하고, 클럭의 상승 에지에서 1씩 증가하도록 한다. ... 천이를 위한 여러 가지 조건을 순차회로에 적용하는 방법에 대해 알아본다.실습 내용실습결과Verilog설계-BCD 동기식 카운터의 상태도- BCD 가산기의 Verilog 코드 기술counter.vtb_counter.vmodule
    리포트 | 3페이지 | 2,000원 | 등록일 2020.12.19
  • (완전 세세한 정리, 끝판왕) 시립대 전전설2 8주차 Lab08 결과 레포트 7-segment and Piezo Control, 전자전기컴퓨터설계실험2,
    할당된 그 값들이 다시 하위 모듈인 FND array(실습4)의 과정을 반복하여 원하는 값이 segment에 출력되도록 한다.code실험6) 4-bit up-down counter의 ... 실험 결과(1) 실습1설계 조건4-bit up counter의 출력 값을 single FND에 표시1. up counter 설계2. static 7 segment를 모듈화해서 사용codeCombo ... Mode가 1이면 up이 진행되고 아니면 down이 진행된다.sw[0]이 1이면 mode=1일 때인 up이 실행되고 sw[1]이면 mode=0일때인 down이 실행된다.code3.
    리포트 | 24페이지 | 2,000원 | 등록일 2020.07.28 | 수정일 2020.09.24
  • [서울시립대] A+ 전자전기컴퓨터설계2(mealy,moore,코드포함) 7주차예비레포트
    /down counter with synchronous reset-. ... (moore machine의 예시)Mealy Machine현재 상태와 입력 조건에 의해서 State도 변경되고, 출력 데이터도 생성됨.응용 과제(총3문항)● 4-bit up counter를 ... (PIN 설정)유의점 : clk를 BUTTON SWITCH F로 설정하라고 하심그리고 UP DOWN, RESET을 EXTERNAL DIP SWICH를 통해 구현하라고 나와있는데 핀번호를
    리포트 | 14페이지 | 1,000원 | 등록일 2021.12.30
  • 충북대학교 전자공학부 기초회로실험II 예비보고서 실험 19. 카운터 회로
    에서처럼 UP/Down 카운터는 입력단에 count up 과 count down에 선택적인 신호를 연결해 주므로서 두 동작을 실행할 수 있다.3. ... /Down counter 의(a)처럼 각각의 플립플롭은 앞단의 플립플롭의 Q(A, B, C)로서 트리거 된다. ... 이것은 up counter sequence를 통하여 진행되는 간단한 2진 리플 카운터로 동작한다.
    리포트 | 5페이지 | 2,000원 | 등록일 2020.09.19
  • 서울시립대학교 전전설2 8주차 결과레포트(코딩 성공적, A+, 10점 만점 11점)
    과정을 통해 4-bit-up-down counter를 구현할 수 있었습니다.3. ... 동작 검사- InputCLK(from board) 1Hzreset : 0으로 초기화(비동기)Up/down mode change : 1kHz 클럭으로 mode change 하도록 하여 ... Data analysis (compare results, reasons of error)1) 실습 1이 실습은 4-bit의 up counter를 single FND를 활용해 표시해주는
    리포트 | 30페이지 | 2,000원 | 등록일 2020.07.22 | 수정일 2020.09.25
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 05일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
11:56 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대