• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(173)
  • 리포트(165)
  • 자기소개서(8)

"VHDL 표현방법" 검색결과 1-20 / 173건

  • (완전 세세한 정리, 끝판왕) 시립대 전전설2 예비레포트 3주차 Lab03 Introduction to Verilog HDL
    배경 이론Verilog HDL과 VHDL의 장단점(1) HDL (Hardware Description Language)먼저 Verilog HDL과 VHDL을 포함하는 HDL에 대해서 ... 모든 입력신호를 *로 표현한 것Initial 구문- 무한히 반복되는 always랑 다르게 한번만 실행된다.Verilog에서 다음의 constant의 의미와 실제로 비트로 표현했을 ... 즉, HDL은 소프트웨어의 동작을 명령하는 소프트웨어 언어인 C, C++, JAVA과 하는 역할이 다르다.(2) Verilog HDL과 VHDLVerilog HDL과 VHDL는 모두
    리포트 | 17페이지 | 2,000원 | 등록일 2020.07.27 | 수정일 2020.09.24
  • 한양대 Verilog HDL 1
    것을 유의해야 한다.VHDL은 디지털 회로 및 혼합 신호를 표현하는 하드웨어 기술 언어이다. ... 또한, Verilog HDL의 기본적인 시작 방법과 프로젝트 생성 후 값 설정하기, gate 연결하기 등과 같은 기본적인 요소를 숙지하고 추후 다양한 기능들로 원하는 회로를 구성해 ... 실험 목적Verilog HDL과 VHDL의 차이를 파악한다.
    리포트 | 5페이지 | 2,000원 | 등록일 2023.03.21
  • 9장 VHDL 설계 툴 사용법 예비
    Xilinx ISE Design Suite의 컴파일러와 시뮬레이터를 이용하여 VHDL로 설계한 회로에 대한시뮬레이션 방법을 익힌다.라. ... 아날로그 신호(mixed-signal)는 VHDL-AMS(VHDL Analog and Mixed-Signal Extensions)로 표현하나, 실제 활용면에서 디지털회로에 많이 적용되어 ... 사용한다.VHDL표현된 회로는, 실제 동작하는 기능적 소자로 변환하는 합성(synthesis) 과정을 거치면 동작할 수 있는 회로가 완성된다.
    리포트 | 16페이지 | 1,000원 | 등록일 2021.01.06
  • 10장 VHDL 설명 및 문법 예비
    VHDL의 장점1) 장점① 표준화된 라이브러리② 특정 기술 및 공정에 무관한 설계방법③ 폭 넓은 이용 범위④ Top-Down 방식의 설계⑤ 재사용이 가능⑥ 설계 기간 단축2) 단점① ... 모든 컴포넌트 뿐만 아니라 연결 상태까지 하드웨어로 시스템을 구성하는 것과 같은 방법으로 일일이 세세하게 기술하여 전체적인 시스템의 구조를 말로써 풀어 쓰는 것과 유사한 방법으로 설계를 ... .2) 아키텍쳐 몸체 선언- 하드웨어 내부를 표현한다.시스템의 실제적 동작부분에 대한 기술을 하는 부분이다.- 내부회로의 연결, 동작 또는 구조 등을 표현한다.VHDL은 generic문과
    리포트 | 9페이지 | 1,000원 | 등록일 2021.01.06
  • 디지털시스템설계실습 전감산기 결과보고서
    전감산기에 대해서 복습함과 동시에 VHDL로 설계하는 여러 방법들을 배울 수 있는 시간이었다. ... 동작표현)1. 전감산기 연산은 다음과 같다. 이 식은 x에서 y를 빼는 것이며, z는 아랫자리에서 빌림 수가 발생함을 나타낸다. ... 설계하는 방법과 if-elsif를 이용해서 하는 등 다양한 방법을 통해서 설계하였다. if-elsif는 정수 뺄셈을 실행한 결과 최소 ?
    리포트 | 4페이지 | 1,000원 | 등록일 2021.04.16
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab03(예비) / 2021년도(대면) / A+
    실험의 목적Verilog HDL 언어의 기본 사용법을 익히고 디지털 논리회로를 설계하는 여러 가지 방법론을 학습한다. ... 배선-Gate들간의 연결)에 상당한 어려움을 초래한다.(2) 보조자료 Verilog-HDL 문법 pdf 자료를 읽으시오.(3) Verilog에서 다음의 constant의 의미와 실제로 비트로 표현했을 ... (IEEE 1076)- HDL 언어 방법이 풍부한 동시에 엄격하다.- 1993년에 보완되었고, 주로 학계에서 널리 사용된다.(2) Verilog 모델링 예시- 1-bit 반가산기 모델링
    리포트 | 12페이지 | 2,000원 | 등록일 2022.07.16
  • 디지털 시계 실습 vhdl 레포트 (12,60진 카운터)
    방법이다. ... 의 표현방법중 하나로 구조적 모델링 기법으로 아래와 같은 구조를 한번에 설계하는 것이 아닌 하위에서 설계한 entity를 상위 단계에서 사용함 으로써 대형 설계를 쉽게 할수 있는 ... 다른 표현숫자 들도 FND_DATA의 0이 led의 점등임을 고려하면 해당 숫자가 나옴을 알 수 있다.Vhdl를 이용한 코드.출력 결과1초 생성기이론적 배경디지털 시계를 만들기 위해
    리포트 | 19페이지 | 2,000원 | 등록일 2020.10.05
  • 디지털시스템설계실습 논리게이트 결과보고서
    (c) (a)에서 세운 논리식을 이용해 회로를 Verilog 또는 VHDL표현하라.2번 다음 회로에 대한 논리식을 세우고, 진리표를 작성한 후 Verilog 또는 VHDL표현하라.X ... 또한 복잡한 논리연산을 처리하여 적절하게 간소화하는 방법도 다시 되돌아 볼 수 있었다. 다음 실험에는 이를 바탕으로 좀 더 정확하고 신속하게 실험할 수 있을 것 같다. ... 이때 다음과 같이 Verilog 또는 VHDL 템플릿에 따라 설계한다.2.연습문제1번 다음 그림과 같은 회로에 대해 답하라.
    리포트 | 6페이지 | 1,000원 | 등록일 2021.04.16
  • 서강대학교 디지털논리회로실험 - 실험 5. Arithmetic comparator, Adder and ALU 결과 보고서
    실험 2)의 f ull-adder 설계에서도 마찬가지 방법을 사용하였다. ... 한편, 실험에서는 모든 입력이 0 또는 양의 2진수라 가정하였으나 음의 2 진수 에 대한 2’s complement 표현을 이용하면 가산기의 입력에 NOT gate를 추가하여 뺄셈 ... NAND gate의 트랜지스터 개수가 AND gate, OR gate의 트랜지스터 개수보다 적으므로 고집적 회로 설계시 이러한 방법을 통해 비용을 대폭 절감할 수 있다.실험 3)에서는
    리포트 | 8페이지 | 1,000원 | 등록일 2020.04.20 | 수정일 2020.04.24
  • 서울시립대학교 전전설2 3주차 예비레포트(코딩 성공적, A+, 10점 만점 11점)
    따라서 크고 복잡한 프로그래밍을 할 때는 이러한 기능을 활용할 수 있다.Verilog에서 다음의 constant의 의미와 실제로 비트로 표현했을 때 어떻게 나타나는지 조사하시오.Number ... 또한, 디지털 논리를 설계하는 여러가지 방법론인 bit operators, Gate Primitive, Behavioral modeling을 이용한 설계 방법을 학습한다.마지막으로 ... 설계한 로직을 시뮬레이션하기 위한 테스트 벤치 작성방법을 익힌다.나.
    리포트 | 15페이지 | 2,000원 | 등록일 2020.07.22 | 수정일 2020.09.16
  • 서울시립대 전전설2 Lab-03 예비리포트 (2020 최신)
    Verilog HDL과 VHDL의 장단점을 조사하시오.VHDL은 Top-down(하향식)방식의 디자인이 가능해 설계 기간을 단축시킬 수 있고 놓치기 쉬운 error들을 초기에 검증하여 ... 하나는 미국방성이 주도로 개발한 VHDL이고 다른 하나는 반도체 업계 주도로 개발된 Verilog HDL(Verilog)이다. ... 따라서 ‘00011’로 표현된다.- 3’b01x : binary 01x가 3bit로 표현된다.
    리포트 | 13페이지 | 1,500원 | 등록일 2021.09.10
  • 논리회로설계 실험 기본게이트 설계
    VHDL의 병행문을 사용하여 주로 표현하며 표현상의 모든 문장들이 순차적으로 동작하는 것이 아니라 항상 동작하게 한다. ... 게이트들의 동작적 모델링과 자료 흐름 모델링 방법으로 설계하고 그에 따른 파형으로 설계한 결과를 확인한다.2. ... 기술을 위해서 VHDL의 순차문이 Process문이 사용된다.2) 자료 흐름 모델링자료흐름 모델링은 자료의 흐름, 즉 , 신호 및 제어의 흐름을 나타낸다.
    리포트 | 6페이지 | 1,500원 | 등록일 2021.10.01
  • 서울시립대학교 전전설2 3주차 결과레포트(코딩 성공적, A+, 10점 만점 11점)
    따라서 크고 복잡한 프로그래밍을 할 때는 이러한 기능을 활용할 수 있다.Verilog에서 다음의 constant의 의미와 실제로 비트로 표현했을 때 어떻게 나타나는지 조사하시오.Number ... 그에 반해 VHDL은 배우고, 프로그래밍 하기에 조금 더 어렵다.하지만 Verilog에는 라이브러리 관리 기능이 없다. ... 이러한 특징에 따라 한쪽에서의 장점은 한쪽의 단점이 된다.Verilog는 C를 기반으로 하여 일반적으로 VHDL에 비해 배우기 쉽다.
    리포트 | 23페이지 | 2,000원 | 등록일 2020.07.22 | 수정일 2020.09.16
  • Full adder VHDL 실습보고서(전가산기)
    원래는 이 나왔어야 했는데, 4비트까지밖에 표현이 안되다 보니, 까지 밖에 표현이 안되어 7로 인식된 것이다. ... 1.목적(Purpose)이번실습에서는 4 bit Full adder(4비트 전가산기)와 Subtractor(감산기)를 직접 VHDL코딩을 통해 구현하는 실습이다. ... Source & Results1) VHDL Source1-1)Full adder그림4. Full adder 코드Full_adder 모듈입니다.
    리포트 | 11페이지 | 2,000원 | 등록일 2020.12.20 | 수정일 2020.12.27
  • 디지털 논리회로의 응용 가산기/비교기/멀티플렉서/디멀티플렉서
    FG-8002DC power supply실험 재료저항7404, 7408, 7420, 7432, 7447, 7483, 7485, 7486, 74139, 741517-segment실험 방법비교기비교기의 ... 이 오류는 VHDL코드를 이용해서 보정할 수 있다.디코더 (decoder)와 인코더 (encoder)디코더는 인코딩된 정보를 해독하는 회로이다. ... 신호들이 디지털 신호로 표시되는 것을 볼 수 있었다.참고문헌Stephen Brown, Zvonko Vranesic/Fundamentals of Digital Logic with VHDL
    리포트 | 10페이지 | 2,000원 | 등록일 2022.03.03
  • 122. (전공_PT 주제) 반도체 디지털 회로설계 의 개념과 기술동향을 설명한 후, HDL 코딩에 관하여 설명하시오.
    논리 연산자와 진리표를 사용하여 간단한 논리 회로를 설계하고 HDL 코드로 표현하는 방법을 학습합니다. ... 고속 회로에서의 타이밍 문제를 해결하고, 타이밍 다이어그램을 작성하고 분석하는 방법을 연구합니다.H D L 코딩에 필요한 기술HDL 코딩 기술HDL 언어(예: Verilog, VHDL ... VHDL)의 기본 구문과 기능을 학습합니다.
    자기소개서 | 8페이지 | 3,000원 | 등록일 2023.06.09 | 수정일 2024.06.05
  • 논리회로실험) 7 segment 프로젝트 1 예비
    비트들의 연속으로 표현하는 방법이다.- 하나의 십진법 자리가 네 개의 이진법 자리에 곧바로 대응하므로 변환이나 역변환이 쉽다는 이점을 갖고 있다. ... 그러다가 저번 수업 때 VHDL에서는 elseif가 아닌 elsif라는 것을 기억해 내어 가까스로 해결을 할 수 있었다. ... 비록 VHDL은 C언어와 유사한 부분이 있어서 혼동이 갔고, 저번에 분명 배웠음에도 활용할 기회가 없어서 체감하지 못해 금방 잊었었던 것 같다.
    리포트 | 6페이지 | 1,500원 | 등록일 2021.10.01
  • 순차논리회로설계 결과레포트
    논리회로를 말한다.이에 반해, 순차논리회로는 조합논리회로와 다르게 피드백 부분이 있어외부로부터의 입력과 현재 상태에 따라 출력이 결정된다.- FSM은 순차논리회로를 설계하는 하나의 방법이다 ... 즉, 상태 천이를 표현하기에 앞서 다음과 같이 parameter를 사용하여 2개의 상태를 정의한다.그 다음 무어 모델의 경우, 상태 천이 표현을 case~endcase 문을 사용하여 ... 전자공학실험3 Chap4 순차논리회로 설계[Section 01]간단한 상태도의 구현[학습목표]· 순차논리 회로를 설계하기 위해 FSM도(상태도)를 작성하고, Verilog, VHDL
    리포트 | 10페이지 | 3,000원 | 등록일 2021.06.10 | 수정일 2022.04.18
  • 전전설2 3주차 실험 결과레포트
    .- 비트 단위 연산자를 이용하는 방법- Gate Primitive를 사용하는 방법- Behavioral modeling을 이용한 설계방법(if, for 문 등을 사용)- 설계한 로직을 ... 하나는 VHDL로 미국방성 주도로 개발하였고 엄격한 문법이 특징이고 나머지 하나는 Verilog HDL로 반도체 업계주도로 개발하였고 유연한 문법이 특징이다. ... 하드웨어 기술언어인 HiLo와 C언의 특징을 기반으로 개발해서호한다.결과적으로 두 언어의 합성 가능한 하위 집합을 보면 기능면에서 매우 유사하지만 Verilog로 작성된 코드는 VHDL
    리포트 | 23페이지 | 1,000원 | 등록일 2021.11.30
  • 논리회로실험 비교기와 MUX, ALU 결과보고서
    또한 이러한 부프로그램들은 코드의 규모가 커지고 복잡해지는 경우 사용하기 위한 방법이었다. ... 실험 목표VHDL의 Subprogram으로서 함수와 프로시저를 사용하여 예비보고서에서 배운 4비트 ALU를 작성한다. ... 결과의 파형을 2진수로 표현이 되어있으면 2의 보수의 값을 제대로 확인하기 어려울 뿐 더러 한눈에 확인하기 어렵기 때문에 signed 10진수로 표현하여 2의 보수를 표현한 10진수의
    리포트 | 5페이지 | 1,500원 | 등록일 2021.10.01
AI 챗봇
2024년 09월 01일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
8:42 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대