• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(540)
  • 리포트(508)
  • 자기소개서(29)
  • 시험자료(2)
  • 논문(1)

"VHDL code" 검색결과 1-20 / 540건

  • VHDL_2_MUX,DEMUX,비교기,ALU,Hamming code
    여러 가지 방식이 가능하지만 여기서는 2진 Hamming Code로 오류 비트 1개를 교정하는 코드를 만들었다.기본적으로 Hamming code는 기존 메시지에서 패리티 비트를 만들어내고 ... 또한 conv 함수는 정수형과 관련된 변환일 경우만 붙고 나머지는 단순히 unsigned(A), std_logic(B) 이와 같은 방식으로 형변환을 한다는 사실을 추가적으로 찾을 수 ... 그런데 입력 신호를 std_logic이 아닌 unsigned형으로 해보려고 하니 xor, and, or 등의 연산이 정의되어 있지 않다는 오류 메시지가 떴다. conv 함수를 이용해보려
    리포트 | 35페이지 | 2,000원 | 등록일 2021.09.23 | 수정일 2022.04.04
  • VHDL를 이용한 FPGA설계 레포트코드해석본
    VHDL 코드 및 핀 할당library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL ... VHDL CODE 및 핀 할당 ·································· 94. ... 버튼을 누름으로써 앞서 지정한 변수 bu에 카운트 된 입력값이 들어오고, 클록변수에 따라 구분한 특정 음계 주파수가 입력에 따라 출력되게 됩니다.코드에서의 문자출력과 사운드 출력간의
    리포트 | 25페이지 | 2,000원 | 등록일 2021.09.26
  • VHDL코드를 이용한 4비트 감가산기 구현
    설계 목적- 디지털 시스템 수업시간에 익힌 내용을 이용하여 감가산기의 원리를 이해하고 VHDL code를 작성하여 설계한다. quartus 8.1를 이용하여 Digcom v3.2에 ... VHDL code--4bit subadder--library ieee;use ieee.std_logic_1164.all;package mydata issubtype adder_range ... code를 작성하여 Digcom v3.2로써 3단스위치와 세그먼트를 이용한 4비트 감가산기를 구현하기로 하였다.설계 내용① Digicom V3.2 에 있는 슬라이드스위치 8개를 4개씩
    리포트 | 8페이지 | 1,000원 | 등록일 2020.05.19
  • 전자공학과 논리회로 A 설계 프로젝트 보고서 (VHDL코드포함)
    -각 계산과정마다 overflow가 발생할 수 있으므로 overflow발생지점을 0으로 초기화 하였다.마지막 step에 대한 알고리즘 및 논리 설명2’s complement의 4bit
    리포트 | 6페이지 | 3,000원 | 등록일 2020.12.10
  • 아주대학교 논리회로 / VHDL 설계 과제 보고서 (4 bits Gray to Binary code conversion)
    논리회로 설계 내용 및 동작 원리 설명A) 알고리즘4비트 그레이 코드를 4비트 2진 코드로 변환 하 는 일반적인 알고리즘은 다음과 같다 .1. 4비트 그레이코드를 입력한다.2. ... 따라서 각 4비트 그레이코드에 해당하는 2진코드를 확인할 필요가 있다. 이를 본 과제의 주제에 맞춰 진리표 형식으로 표현하면 다음과 같다. ... 출력된 B1와 G0 (LSB)의 입력값을 XOR 연산하고 B0 (LSB)에 출력한다.B) 진리표와 최소식위 방식은 그레이코드를 4비트 2진코드로 변환하는 일반적인 방법이다.
    리포트 | 4페이지 | 1,000원 | 등록일 2020.05.29 | 수정일 2020.06.05
  • 전자공학과 논리회로실험 A+ 프로젝트 보고서 (VHDL코드포함)
    요구사항 달성 정도1) 설계측면에서 요구사항 달성 정도 -우리 조는 4*4 keypad모듈에서 정의한 A, B, C, D버튼을 이용하여 비밀번호 재설정 기능을 추가하기로 하였다.
    리포트 | 17페이지 | 3,000원 | 등록일 2020.12.17
  • [VHDL] Combinational logic design with 8to1 MUX & 4to16 Decoder, 코드 및 시뮬레이션 분석
    세 번째 실습은 함수 F(A,B,C,D) = ∑m(0,2,3,8,10,11,12,14,15)를 이해하고 이를 VHDL로 알고리즘을 짜 8 to 1 MUX를 이용한 회로와 4 to 16 ... 4 to 16 VHDL Decoder의 코드는 다음과 같다. Input 은 4비트짜리 logic vector w이고 실습 조건에 따라 En도 input으로 추가하였다.
    리포트 | 28페이지 | 4,000원 | 등록일 2020.10.08 | 수정일 2021.10.18
  • 키보드로 움직이는 VHDL 로봇팔 제안서,최종보고서,설계포트폴리오,소스코드[DE0보드 사용]
    3. 연구개발 내용 및 범위 먼저, 로봇의 기구부는 알류미늄, 아크릴, 포맥스 등의 작품을 완성하였을 시 내구성이 보장될 수 있도록 강도가 보장되지만 동시에 제작에 용이한 재질을 선택하여 로봇팔의 기구부를 설계한다. 몇가지 재질을 접해본 결과 ‘포맥스’라고 불리는 재..
    리포트 | 25페이지 | 10,000원 | 등록일 2021.02.19 | 수정일 2021.03.04
  • VHDL을 이용한 LED 제어 소스코드
    LED : buffer std_logic_vector(7 downto 0));End term_project;Architecture arc of term_project issignal clk ... ieee.std_logic_1164.all;Use ieee.std_logic_arith.all;Use ieee.std_logic_unsigned.all;Entity term_project isport(clk
    리포트 | 3페이지 | 1,000원 | 등록일 2016.07.18
  • VHDL로 구현한 해밍코드(Hamming code)의 인코더(encoder), 디코더(decoder) 설계
    되어진 12자리 비트에 에러를 의도적으로 추가하여 시뮬레이션 했을 때 자체적으로 에러를 정정하여 원래의 비트로 되돌려주는 Decoder의 설계를 한다.관련이론 : Hamming code란 ... 해밍이 1940년대 말에 벨 연구소에서 개발하여 1950년 펴낸 저서에 소개한 이 코드는 패리티 검사(Parity Check) 등 보통의 에러 검출 코드들이 에러를 검출할 뿐 교정은 ... 컴퓨터 스스로 데이터 오류를 찾아낼 수 있는 코드로, 수학자 리처드 웨슬리 해밍(Richard Wesley Hamming:1915∼1998)의 이름에서 유래되었다.
    리포트 | 4페이지 | 5,000원 | 등록일 2013.12.29 | 수정일 2020.12.14
  • VHDL을 이용한 Digital Clock(디지털 클락) 코드 및 보고서입니다.
    Countdown과 Additional로서 스위치를 통해 Digital Clock과 Countdown을 선택하고 또 다른 스위치를 누르는 동안 Pause 및 buzzer가 울리는 VHDL ... -----signal css0clk, css1clk, cs0clk, cs1clk, cm0clk, cm1clk : std_logic;---countdown의 각각 0.00초, 0.0초 ... -----signal css0cnt, css1cnt, cs0cnt, cs1cnt, cm0cnt, cm1cnt : std_logic_vector(3 downto 0);---countdown의
    리포트 | 13페이지 | 3,000원 | 등록일 2017.05.23
  • 디지털 회로 설계 32 bit carry lookahead adder vhdl quartus 설계 code 포함
    Object : CAD tool의 일종인 quartus2를 통해 32-bit CLA의 코드를 직접 짜보고, quatus2의 사용방법을 익히고, Carry-Lookahead Adder에 ... 여기서 각각의 Carry들은 C1=Go+Po*Co, C2=G1+P1*C1,......,Cn+1=Gn+Pn*Cn으로 나타 낼 수 있는데 여기서 두 번째 식의 C1자리에 앞의 방정식을 ... 통해c _{i+1}을 만드는 연산을 추가적으로 한다.
    리포트 | 10페이지 | 2,000원 | 등록일 2014.12.15 | 수정일 2021.07.26
  • bcd to excess 3 code converter vhdl code
    PAGEREF _Toc194408888 \h 6Specify the VHDL codes for assignment 1 and describe the codes in detail.library ... Contents TOC \o "1-3" \h \z HYPERLINK \l "_Toc194408883" Specify the VHDL codes for assignment 1 and ... PAGEREF _Toc194408886 \h 4 HYPERLINK \l "_Toc194408887" Specify the VHDL codes for assignment 2 and describe
    리포트 | 6페이지 | 1,000원 | 등록일 2008.03.27
  • VHDL and, or xor 등 모든 게이트 (소스코드+ 결과 첨부)
    VHDL과 결과 비교1. and gateand gate VHDL 구문 and gate 결과2. nand gatenand gate VHDL 구문 nand gate 결과3. nor gatenor ... gate VHDL 구문 nor gate 결과4. not gatenot gate VHDL 구문 not gate 결과5. or gateor gate VHDL 구문 or gate 결과6 ... . xor gatexor gate VHDL 구문 xor gate 결과7. xnor gatexnor gate VHDL 구문 xnor gate 결과실험결과)VHDL을 이용하여 회로를 설계하는
    리포트 | 3페이지 | 1,500원 | 등록일 2014.12.11
  • VHDL을 이용한 DE2보드 Addition, Subtraction 코드
    Library IEEE;Use IEEE.std_logic_1164.all;Use IEEE.std_logic_arith.all;Entity Lab3 is port ( SW : IN std_logic_vector(17 downto 0); ledr : OUT std..
    리포트 | 1,000원 | 등록일 2011.11.25
  • VHDL Decoder and Encoder(post lab입니다) VHDL code 포함
    입력신호가 변할 때마다 실행process(ex, i) -- excess-3 code convertbegincase i is --Prelab에서와 달라진 Source Codewhen ... d : std_logic:='0'; -- save a bit, in excess-3 code –-4bit의 변수를 각 bit별로 내부신호에 저장하기 위해 선언begin-- enable신호와 ... 실 험 결 과 (Results)1) Source Code--Prelab 에서의 소스코드와 달라졌다.library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL
    리포트 | 11페이지 | 2,000원 | 등록일 2008.09.28
  • 4차선 신호등 제어기 설계를 위한 VHDL 코드 및 결과보고서
    실습 내용(1) 4차선 신호등 제어기 설계를 위한 VHDL 코드(2) vhdl 코드를 작성한 후 프로그래머를 위한 핀 할당(3) vhdl 코드에 대한 시뮬레이션4. ... 실습 내용 :(1) 4차선 신호등 제어기 설계를 위한 VHDL 코드library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all ... : std_logic;--클럭을 위한 변수이다.signal cnt: integer :=0;--잔상효과를 위한 변수이다.begin--클럭 진행을 위한 코드이다.process(clk,
    리포트 | 12페이지 | 10,000원 | 등록일 2015.06.23 | 수정일 2015.09.07
  • VHDL을 이용한 ALU설계(소스코드포함)
    SubstractorSubstractor는 우선 input B를 2`s completement를 취해줘야 한다. ... := "000000000";--constant zero 9bitbeginprocess (a, b, sel)--process startvariable tempa : std_logic_vector ... downto 0);--signal bb 8bit for substractorconstant zero_16 : std_logic_vector := "0000000000000000"; --constant
    리포트 | 9페이지 | 1,500원 | 등록일 2009.12.01
  • VHDL 8층 엘리베이터 구현 코드 및 보고서, 발표자료
    개발에 이용 된 Tool 들은 다음과 같다Modelsim 6.1b(VHDL 코드 작성 및 Function적 동작 검증에 이용)Quartus 4.0(Kit에 HDL 코드를 이식하기 ... Elevator Controller를 VHDL 코드로 작성, 그 동작을 검증 한 후 FPGA Kit 로 옮겨 Controller의 Function적 동작을 보여주는 것이 목적이다. ... 위한 합성 단계에 이용)UltraEdit(보다 용이한 코드 작성에 이용)SoCMaster-XP100(HDL 설계 자료를 구현하는데 이용)
    리포트 | 5,000원 | 등록일 2012.12.06
  • 레이어 팝업
  • 프레시홍 - 특가
  • 프레시홍 - 특가
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 07월 20일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:27 오후
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기