• LF몰 이벤트
  • 파일시티 이벤트
  • 유니스터디 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(242)
  • 리포트(223)
  • 시험자료(14)
  • 논문(2)
  • 자기소개서(2)
  • 서식(1)

"Vending machine" 검색결과 1-20 / 242건

  • 기초전자회로실험_vending machine
    잔돈이 생길 수 있는 경우는 0원, 100원, 200원, 300원 이다.따라서 우리가 실험하는 Vending Machine의 input값은 총 4개인데, S를 통해 물건 선택(0일 ... 실험과정 및 결과-문제의 정의로부터 Vending Machine의 구조인 [실험 내용1]의 회로도에 대한 동작 설명또, 무슨 물건을 살 것인지 얼마를 넣을 것인지 신호를 입력하면 그 ... -본 실험에서 개발하는Vending Machine 의 요구조건을 바탕으로 동작 시나리오 설명이 실험에서 우리가 구현하고자 한 자판기는 500원짜리와 600원짜리 두가지 음료수를 판매한다
    리포트 | 11페이지 | 2,000원 | 등록일 2020.12.20 | 수정일 2022.07.18
  • A+ 디지털 시스템 실험 최종 프로젝트 자판기 <vending machine>, PPT포함
    실험제목최종 프로젝트 – Vending Machine실험목표① 자판기를 설계한다.② FPGA 보드에 올려 동작을 검증한다.실험결과1. ... Vending Machine Module의 입출력은 다음과 같다. ① Input ∙ RST, CLK, Change_sel : 1bit ∙ Coin [2:0] : 3bit, ... Machine Module이 된다.
    리포트 | 9페이지 | 10,000원 | 등록일 2020.01.07 | 수정일 2020.12.09
  • VHDL_4_counter, sequence detector, 4way traffic light counter, Soda vending machine
    machine1. ... , clock = rising_edge, Reset = 0State : RR_2, North-South : Red, East-West : Redcount : 2실습제목: Soda vending ... 주제 배경 이론학습한 state machine의 개념을 가지고 자판기 동작을 하는 회로를 설계했다.
    리포트 | 34페이지 | 2,000원 | 등록일 2021.09.23 | 수정일 2022.04.04
  • [영어 에세이] Should soft drinks like Coke or Pepsi be sold in school vending machines? / opinion essay
    Should soft drinks like Coke or Pepsi be sold in school vending machines? ... In many schools today, students have access to them in vending machines. ... For the sake of school-going children, soft drinks should not be sold in school vending machines.
    리포트 | 1페이지 | 1,000원 | 등록일 2021.01.05
  • 자판기 베릴로그코드 테스트벤치(testbench) 포함 / Vending machine / Verilog code / 베릴로그코드 설명 결과보고서 포함 / ASIC 설계 / 논리회로 / 디지털 설계
    가격이 1000원 및 1500원인 콜라를 판매하는 자판기를 각각 Verilog code로 구현하였습니다.코드파일(.v)과 머신에 대한 설명 및 시뮬레이션 결과에 대한 파일(.docx)이 포함되어 있습니다.1500원 콜라 자판기의 경우 모델심 시뮬레이션에 필요한 test..
    리포트 | 3페이지 | 2,500원 | 등록일 2020.10.17 | 수정일 2020.10.22
  • ModelSim - Vending machine
    디지털시스템Vending Machine과목명: 디지털시스템목차FSM 란? ... Output: change3) Choice = 1, Input: coin/ Output: drink, changeVerilog code`timescale 1ns/1nsmodule VENDING_MACHINE
    리포트 | 13페이지 | 1,000원 | 등록일 2018.01.29
  • VHDL VLSI SOC 설계vending machine
    ieee;use ieee.std_logic_1164. all;use ieee.std_logic_unsigned. all;use ieee.std_logic_arith.all;entity vending ... ; architecture behave of vending is begin process(clk, rst, coin_in, coffee_set, coffee_serve) ... std_logic; coffee_serve : in std_logic; state_out : out std_logic_vector(1 downto 0) ); end vending
    리포트 | 3페이지 | 1,000원 | 등록일 2018.11.18
  • vending machine(자판기)
    보고서 형식의 한글 파일과 ppt파일 그리고 프로그램 소스가 함께 포함되어있습니다.quartus를 이용하여 verilog HDL code로 작성한 vending machine(자판기
    리포트 | 13페이지 | 3,500원 | 등록일 2014.06.28 | 수정일 2014.07.03
  • 디지털 실험 텀프로젝트(vending machine)
    machine 설계설계 기간2016년도 2학기지도교수팀원이름학번☎E-mail이름학번☎E-mail이름학번☎E-mail목표설정설계 목표1. ... 2016.12.01.Term project 설계계획서XXX 교수님 - 기초회로 및 디지털 실험1조학번 :이름 :제출일자 : 2016-12-01교과목 명기초회로 및 디지털실험설계 제목Vending
    리포트 | 3페이지 | 4,000원 | 등록일 2016.11.30
  • 자판기 시스템 운영문제의 휴리스틱 해법 개발과 평가 (A Heuristic for the Operation Problem of the Vending Machine System)
    한국산업경영시스템학회 박양병, 장원준, 박해수
    논문 | 10페이지 | 4,000원 | 등록일 2017.01.04 | 수정일 2023.04.05
  • Coffee Vending Machine의 verilog code 및 보고서
    ProjectCoffee Vending Machine1. Introduction여러 가지 기능을 가진 Coffee Vending Machine을 설계한다. ... Verilog Code and Description**Coffee Vending Machine`timescale 1 ns / 100 ps // 시간간격과 해상도를 정의// State ... NORMAL, BUSY, GIVE_CH, ERROR 상수 정의module Coffee_Vending_machine(//InputClock,nReset,Input_Money1,Input_Money2
    리포트 | 15페이지 | 2,000원 | 등록일 2016.05.20
  • Vending Machine 설계
    명 :제출일자 : 2005. 11. 15Vending MachineVending Machine? ... ;architecture Behavioral of vending_machine issignal coin_100_value : integer range 0 to 600;signal coin ... IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity vending_machine
    리포트 | 7페이지 | 10,000원 | 등록일 2009.05.27
  • Vending Machine 설계 과제
    시뮬레이션은 모든 Case를 다해본 것이 아니라 대표적인 Case들 만을 지정하여 설계한 Vending Machine이 예상했던 바에 따라 동작하는 것을 확인해 볼 수 있었다.
    리포트 | 12페이지 | 2,500원 | 등록일 2009.09.01
  • 디지털 회로 설계 프로젝트 vending machine (자판기)
    machine에 필요한 load 기능만 이용했다. ... VENDING MACHINEtable of contentsa. ... 연산을 수행하는) 회로를 만들기 위해 74194와 7483을 이용했다. shift register의 hold, shift left, shift right, load 기능 중 이 vending
    리포트 | 7페이지 | 2,000원 | 등록일 2013.01.02 | 수정일 2021.01.31
  • FSM Vending-Machine 실험설계
    FSM Vending-Machine 설계① HDL 코드library IEEE;use IEEE.std_logic_1164.all;use IEEE.std_logic_unsigned.all
    리포트 | 4페이지 | 1,000원 | 등록일 2009.12.06
  • 자판기(vending machine) VHDL
    자판기(vending machine)디지털 시스템 설계의 예로 자판기(vending machine)를 설계하고자 한다. 은 자판기(vending machine)의 블록도 이다. ... 의한 설계 자판기(vending machine) key 입력 상태도자판기(vending machine)를 Moore Machine으로 구현하면 과 같이 상태를 표현 할수 있다. coffee ... 자판기(vending machine)는 6개의 키패드 스위치를 가지며 해당 키패드는 에서 확인 할 수 있다. key vector는 반환, 주스, 우유, 커피, 500원, 100원짜리
    리포트 | 8페이지 | 2,000원 | 등록일 2007.04.12
  • [회로 실험] Vending machine
    (G=1)Mealy Machine 으로 Vending Machine 의 State diagram 을 그리되 state 의 수를 최소화하라 (총 S0,S1,S2 3개의 state 로 ... [실험] (여기서부터 Prelab 수행)실험 개요Sequential Vending Machine 설계실험 순서문제의 이해 및 제약 조건이 자판기는 100원 짜리와 50원 짜리 동전만 ... Vending MachineAbstract ) 순서 논리를 응용하고 플립플롭의 적당한 사용과 먹스를 사용하여 50원, 100원이 들어갈 수 있는 자판기를 설계해 본다.ObjectivesSequential
    리포트 | 14페이지 | 5,000원 | 등록일 2005.09.25
  • 무인약판매기(Automedical Drug Vending Machine) 설계
    생산자동화 Tern project Drug Vending Machine발표자 : 박정민 김용원1. 장치개요2. 장치특징3. 시장현황 및 시장성4. 시장제품 현황5.
    리포트 | 28페이지 | 1,500원 | 등록일 2009.03.09
  • VHDL 로 만든 자판기(vending machine) 컨트롤러 소스입니다.
    (vending machine)실행화면은 모델심 수행화면입니다.
    리포트 | 6페이지 | 5,000원 | 등록일 2008.03.30 | 수정일 2021.06.28
  • Bluetooth 모듈을 이용한 MP3 Vending Machine & Player
    Laptop으로 Vending Machine 코딩? Vending Machine 프로그램 Bluetooth장치와 연동하게 코딩6월? 전체적인 Debugging? ... 주제명Bluetooth 모듈을 이용한 MP3 Vending Machine & Player2. 팀명, 팀원, 업무분장팀명 : a조장 : b, 조원 : c , aabc? 자료 조사? ... Vending Machine프로그램으로 구현?MP3 Player 제작? 자료 조사? 부품 구입? MP3 Player Bluetooth 모듈 연동? SD카드 및 FAT32 구현?
    리포트 | 50페이지 | 10,000원 | 등록일 2008.07.22 | 수정일 2020.11.01
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 05일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
10:52 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대