• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(97)
  • 리포트(94)
  • 시험자료(3)

"bcd 가감산기" 검색결과 1-20 / 97건

  • 디시설 - 4비트 가산감산기 , BCD 가산기
    결과 보고서( 4비트 가산/감산기 , BCD 가산기 )제목4비트 가산/감산기 , BCD 가산기실습 목적BCD는 디지털에서 사용하는 2진 코드를 이용해 10진수를 표현한 값이다. ... 감산기에서 입력이 다음 표와 같을 때 FND에 나타나는 출력 값은 얼마인가? ... 이 실습에서는 BCD로 입력되는 두 수를 더한 2진 결과를 다시 BCD로 출력하기 위해 BCD로 변환하는 과정을 실습한다.실습 내용실습 결과4비트 가산기VHDL코드- 코드 주요 내용
    리포트 | 10페이지 | 1,000원 | 등록일 2019.07.20
  • BCD to Excess-3 코드 가/감산기 설계 보고서
    이렇게 나온 값을 다시 BCD 코드로 변환 해주기 위해 가/감산기의 출력은 BIN to BCD의 입력이 된다. ... 조합 응용회로 설계BCD to Excess-3 코드 가/감산기 설계 보고서1. 작품설명2. 전체 블록 다이어그램3. 각 블록의 기능 및 동작 설명4. 전체 회로도5. ... -c-d-a-b-a-b-c-c-d② 3초과 코드 연산출력된 2개의 3초과 코드를 감산 또는 가산하기위해 4비트 전가산기 7483을 이용한다.감산은 보수를 이용하여 더해준다.
    리포트 | 12페이지 | 1,500원 | 등록일 2015.12.10
  • 두 개의 BCD 입력을 받아, EX-3로 변환 후 뺄셈을 수행하는 감산기 설계 및 제작
    감산기에서는 두 EX-3 코드와C0를 더하여 감산한 후 C4와 BIN to BCD로 입력된다. ... 개요 두 개의 BCD 입력을 받아, EX-3로 변환 후 뺄셈을 수행하는 감산기 설계 및 제작2. ... 감산기의 출력이 양수 일 경우 그대로 입력되기에 가산하는 것 없이 출력되어야 하고, 음수일 경우 2의 보수를 취해주어야 하기에감산기의 C_{ 4}의 반전된 값이 BIN to BCD
    리포트 | 11페이지 | 1,000원 | 등록일 2015.11.25
  • [쿼터스포함]병렬,BCD 가감산기 결과보고서 / 카르노맵, 게이트 변환
    무관항은 BCD 코드를 다른 형태로 변경할 때 자주 등장하며 잘 이용하면 회로를 간단하게 나타낼 수 있다.
    리포트 | 11페이지 | 2,000원 | 등록일 2011.01.05 | 수정일 2020.01.29
  • [디지털 공학 실습] TTL IC를 이용한 3초과 to BCD 가감산기 설계
    블록 다이어그램SW1BCD to Ex-3IC 7483Display14 LED가/감산기IC 7483BIN toBCDIC 7483BCD toSegmentIC 7447Display37SegmentSW2BCD ... /감산 결과 출력동 작 설 명디코딩된 BCD 값을 7Segment로 가/감산 결과 출력(0~18까지 출력)블 록기 능회 로 도Display41 LED음수표시동 작 설 명감산시 음수가 ... 각 블록의 기능 및 동작 설명(계속)블 록기 능회 로 도가/감산기IC 7483가/감산 수행동 작 설 명각 TTL IC 7483에서 출력된 3초과 코드를 A(A1~A4)와 B(B1~B4
    리포트 | 9페이지 | 1,000원 | 등록일 2010.06.02
  • 전가산기 겸 전감산기, 2의 보수 로직, 16진수-BCD코드 변환, 16진수-ASCII코드, 블록문이 있는 네스티드 if문 설계
    통신회로 및 실습과제 [4] 전가산기 겸 전감산기, 2의 보수 로직, 16진수-BCD코드 변환, 16진수-ASCII코드, 블록문이 있는 네스티드 if문 설계정보통신공학과2010160101 ... 전가산기 겸 전감산기는 SEL변수를 추가하여 0일 때 전가산기 1일 때 전감산기 역할을 하는 회로를 구성하는 것이었고, 2의 보수 로직은 산술연산자를 사용하여 1의 보수를 취한 다음 ... 소스작성-Verilog Module-Synthesize – XST-Verilog Test Fixture-실행결과*실습결과 및 고찰이번 실습은 전가산기 겸 전감산기, 2의 보수 로직,
    리포트 | 8페이지 | 3,000원 | 등록일 2014.07.11
  • BCD 가산기 설계 결과보고서
    감산기에서 입력이 다음 표와 같을 때 FND에 나타나는 출력 값은 얼마인가? ... 감산기로 동작할 경우 빼는 수의 2의 보수를 취해서 더해야 한다.Verilog, VHDL ; 가산회로는 부호를 고려하지 않아도 되지만, 감산회로는 부호를 고려해야 한다. ... MabFND 출력 (16진수)‘0’“0111”(7“1110”(1415“1101”(13“0010”(20F‘1’“0111”“1110”F9“1101”“0010”0B2. n비트 가산기/감산기의
    리포트 | 3페이지 | 2,000원 | 등록일 2021.04.16 | 수정일 2024.01.29
  • 기초전자회로및실험2 -ALUs(Arithmetic logic units)를 이용한 n-bit 계산기 설계
    . - 감산기의 뺄셈 연산은 빼는 값의 보수 형태를 취함으로써 구현된다 . ... 감가산기 : 감산기와 가산기의 차이와 유사성을 확인하고 두 개의 회로를 합쳐서 구현 3. 곱셈기 ( 승산기 ) : 2 진수 곱셈 방식과 구현 4. ... 감가산기 - 계산기의 집적도를 고려 가산기와 감산기를 동시에 설계 -AND, OR, XOR 와 같은 기본 소자들로 FULL ADDER 를 구현하고 , 이를 합쳐서 가산기를 구현했다
    리포트 | 15페이지 | 1,000원 | 등록일 2023.06.22 | 수정일 2023.06.25
  • 디지털 실험 7장(가산기,감산기) 결과보고서
    가산기, 감산기는 1학기 때 디지털공학 강의를 들으면서 배웠던 기억이 있는데, 이것을 실험으로 표현하려니 막막한 느낌이 들었다. 도무지 연결이 되지 않는 느낌이었다. ... 실험목적- 가산, 감산 연산을 구현해 본다.- 4비트 2진수를 Excess – 3 코드로 변환하는 변환기를 설계, 구현, 실험한다.- 3 오버 플로우(overflow) 검출로 부호화 ... 이번 실험의 경우, A(1001)과 B(1001)을 더할 경우, 4비트 표현을 벗어나게 된다.Binary to BCD converterBinary number를 왼쪽으로 1bit씩
    리포트 | 6페이지 | 3,000원 | 등록일 2019.12.17
  • 디코더, mux, comprator, 4비트 감가산기
    여기서 op값이 1로 지정해주어서 감산기를 만듭니다.4. ... =(((a0&(a0^b0))'|(a1^b1))&(a1&(a1^b1))')'4bit-adder-subtractor위 식은 4bit 가감산기를 나타낸것인데 이는 하나의 회로에서 덧셈과 ... 여기에서는 2개의 입력값을 AND gate 연산과 Not를 이용해서 총 4가지 출력값을 도출 하였습니다.이를 응용해서 BCD 2진법 입력값 4개를 이용해서 7segment Display방식을
    리포트 | 7페이지 | 1,000원 | 등록일 2021.01.11 | 수정일 2021.01.13
  • 디지털공학 레포트 모음
    Bb``= bar{A} BBAsb _{o}F.Sb _{i}InputOutputABb _{i}b _{o}s0000000111010110111010001101001100011111● 전감산기 ... 1Carry-out0110010101011001100101111000100101010111001001010111111010100111000011101001111001011010111001000110101110110011● 반감산기 ... OR 게이트를 이용하여 전가산기 구현H.AcsABBAscH.AABc _{i}sc _{o}●실험 6.3 4비트 전가산기 회로의 특성InputOutputA3A2A1A0B3B2B1B0Carry-insum
    리포트 | 98페이지 | 5,000원 | 등록일 2021.05.16
  • [논리회로실험] 가산기&감산기 예비보고서
    가산기 & 감산기1. ... 실험목적1) Logic gate를 이용해서 가산기와 감산기를 구성한다2) 디지털 시스템의 기본 요소인 가산기와 감산기의 기본 구조 및 동작원리를 이해한다.2. ... B로 표현ABDB00000111101011004) 전감산기- 뒷단의 위치에 빌려준 1을 고려하며 두 비트의 뺄셈을 수행하는 논리회로3.
    리포트 | 7페이지 | 1,000원 | 등록일 2021.04.06 | 수정일 2023.03.29
  • 디지털 시스템 설계 및 실습 n비트 가감산기 설계 verilog
    이 실습에서는 BCD로 입력되는 두 수를 더한 2진 결과를 다시 BCD로 출력하기 위해 BCD로 변환하는 과정을 실습한다.2. ... 실습과정 & 실습화면BCD.v와 tb_BCD.v를 작성한 후 컴파일 해준다. ... 코드1) BCD.vmodule BCD(a,b,C_in,sum,C_out);input [3:0] a,b;input C_in;output [3:0] sum;output C_out;reg
    리포트 | 4페이지 | 1,000원 | 등록일 2020.11.02
  • 디지털 회로 실험 및 설계 - 74LS192를 이용한 Up-Down Counter 실험 1
    - 전자 회로 내의 스위치나 계전기의 접점이 붙거나 떨어질 때, 기계적인 진동에 의해 매우 짧은 시간 안에 접점이 붙었다가 떨어지는 것을 반복하는 현상. ... 74LS192 업다운 카운터- 업 카운터나 다운 카운터나 링 카운터의 경우 입력 신호가 한 번 펄스를 가할 때 카운터가 가산되거나 감산되는데에 비해, 업다운 카운터의 경우 신호가 끊어지면 ... 7447 디코더- 7447 디코더는 BCD 코드를 10진수로 바꾸어 7-segment에 표시해 준다.
    리포트 | 15페이지 | 3,000원 | 등록일 2023.09.22 | 수정일 2023.09.24
  • [mahobife]디지털회로실험 가산기와 감산기 회로 결과보고서입니다.
    BCD 가산기 회로 설계 및 실험4. 가산회로와 감산회로의 조함5. 제어신호에 의한 가산기와 감산기 동작Ⅱ. 데이터1. ... 가산기와 감산기 회로6. BCD 검출기 회로결과보고서조교님제출일학 과학 년학 번성 명Ⅰ. 목적1. 가산기 회로 설계 및 실험2. 감산기 회로 설계 및 실험3. ... BCD 2, 8, 9 검출기 설계카르노맵을 기준으로 논리식을 만들었다.rmY``=``barA B barC ``+``D입력이 1001 이상일 때는 BCD입력이라는 전제에서 어긋난 잘못된
    리포트 | 7페이지 | 1,000원 | 등록일 2017.10.09 | 수정일 2017.10.11
  • [mahobife]디지털회로실험 가산기와 감산기 회로 예비보고서입니다.
    병렬 감산기라. 1의 보수를 이용한 감산기2비트 병렬 감산기의 회로도3. 가산기, 감산기 응용회로가. BCD 가산기라. ... BCD 가산기 회로 설계 및 실험4. 가산회로와 감산회로의 조함5. 제어신호에 의한 가산기와 감산기 동작Ⅱ. 이론1. ... 가/감산기1) 반 가/감산기Ct가 0이면 반가산기1이면 반감산기2) 병렬 가/감산기병렬 가산기와 2의 보수를 이용한 병렬 감산기를 제어할 수 있는 회로이다.
    리포트 | 9페이지 | 1,000원 | 등록일 2017.10.09 | 수정일 2017.12.09
  • 업다운 카운터 verilog 설계
    이 실습을 통해서는 상태 천이를 위한 여러 가지 조건을 순차회로에 적용하는 방법에 대해 알아본다.실습 내용실습결과Verilog설계-BCD 동기식 카운터의 상태도- BCD 가산기의 Verilog ... 제목동기식 BCD 카운터 설계실습 목적동기식 카운터는 순차논리회로에서 예제로 가장 많이 사용된다. ... 일상샐활에서는 10진수를 주로 사용하므로, 이 실습에서는 10진수를 2진수로 표현하는 BCD 카운터를 설계한다.
    리포트 | 3페이지 | 2,000원 | 등록일 2020.12.19
  • 자료구조(1) 완전정복
    감산기를 이용하여 연산을 하게 되면 컴퓨터 구조가 매우 복잡해지기 때문10진법은 10의 보수, 9의 보수를 사용하며2진법은 2의 보수와 1의 보수를 이용한다.1) (γ-1)의 보수1010해당하는 ... 답 : 45.B √ 16진수 10=A, 11=B, 12=C, 13=D, 14=E, 15=F 로 표현[3] 문자자료의 표현61) BCD 코드 (2진화 10진 코드)6비트 BCD코드는 ... 수의 각 자리를 (γ-1)의 값에서 감산하여 결과를 얻음.22예를 들어 (536) 에 대한 9의 보수는 999-536를 하면 (463) 이 됨.
    시험자료 | 11페이지 | 3,000원 | 등록일 2020.10.22 | 수정일 2021.01.06
  • 합격자가 알려주는 남동발전 전기NCS 전자 및 통신일반
    (위 구조와 전압이득은 동일합니다.)○ OP-Amp 반전 적분기반전 증폭기와 비반전 증폭기를 이용하여 가산기와 감산기를 설계할 수 있었습니다.이제 회로에 다른 소자를 추가하여 적분연산을 ... 소문자 구별, 16진수 표현.① 6bit BCD(Binary Decimal) Code??AB?? 8??? 4?? 2?? ... 코드변환(기출문제)비수치적 데이터 표시법(Code)< 컴퓨터 기본 코드 >종 류구성 bit 수특 징BCD 코드6bit기본코드, 대?
    시험자료 | 31페이지 | 11,900원 | 등록일 2021.03.10 | 수정일 2022.04.19
  • [디지털 논리회로 실험] 8장. 보수와 병렬 가, 감산기 결과레포트
    BCD 가산기다음과 같이 BCD 가산기 회로를 결선하라. ... 감산기5조이름학번실험일15.04.07제출일15.04.14전원전압 4.89V, SN74LS83실험 8.3 2의 보수를 이용한 4비트 2진 가?감산기다음과 같이 4비트 병렬 2진 가? ... SW에 Y를 연결한다면 감산기가 되어A _{4}A _{3}A _{2}A _{1} -B _{4}B _{3}B _{2}B _{1}이 된다.실험 8.4.
    리포트 | 3페이지 | 1,500원 | 등록일 2016.01.14 | 수정일 2022.10.13
AI 챗봇
2024년 09월 02일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
2:51 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대