• 유니스터디 이벤트
  • 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(8)
  • 리포트(8)

"fpga stopwatch" 검색결과 1-8 / 8건

  • 논리회로설계실험 스탑와치(stopwatch) 레포트
    Time_state가 cont일 때만 하드웨어 내부의 clk을 s_clk으로 인가시킴으로써 stopwatch의 작동과 멈춤을 구현하였다.스위치 코드active low로 작동하는 스위치가 ... 또한 이후의 실습을 통해 클록 분주기와 디바운싱 코드를 FPGA와 컴퓨터를 연결하여 소스코드를 직접 작동시켜 보았다. ... 파일을 생성하였고, PLANAHEAD를 실행하여 핀을 할당하였다.p79는 FPGA에 4MHZ의 클록을 공급하는 핀이고, p19는 reset 신호를 발생하는 푸시 스위치로서 누르는
    리포트 | 13페이지 | 7,000원 | 등록일 2021.10.09
  • [논리회로설계실험]VHDL을 활용한 CLOCK설계
    " https://nk "https://nymag.com/strategist/article/best-stopwatches.html" https://nymag.com/strategist ... /article/best-stopwatches.html - stopwatchfundamentals of logic design, Charles, Larry L Kinney 7th3. ... 아래 그림은 실습시 이용한 FPGA이고, 상단에 7segment 6자리로 구성된 display가 있다.그림1.
    리포트 | 12페이지 | 2,000원 | 등록일 2021.06.26
  • 아주대학교 논리회로 실험 설계 예비보고서
    동작 설명 및 알고리즘FPGA를 이용하여 stopwatch를 설계한다. Clock은 50Mhz를 사용한다. ... 설계 목표- FPGA를 사용하여 자유 주제로서 스톱워치를 설계한다. ... Board 상에 있는 Key 0, 1을 stopwatch의 기본 switch로 사용한다. 4개의 dip switch와 8개의 LED를 사용하여 추가기능을 구성한다.
    리포트 | 10페이지 | 2,000원 | 등록일 2016.06.14
  • 디지털 공학 설계프로젝트 팀별 최종 발표 PPT
    std_logic_vector (1 downto 0); // mode1이 stopwatch모드 MODE2 : in std_logic_vector (1 downto 0); 일때5 downto ... )2주차 (5/28~6/3)3주차 (6/4~10)4~5주차 (6/11~27)진행정도제안서 발표 (기능 구상)디지털 시계 기능 분석기능별 VHDL 구현VHDL 통합 컴파일 / 디버깅FPGA보드 ... *FPGA 보드 구현 - 1■ 구현과정3개의 입력버튼을 이용하여 모드 설정 및 변수값 변경 실시 - MODE1, MODE2, SET 디지털 시계(Digtal clock) 모드 : 기본
    리포트 | 9페이지 | 1,000원 | 등록일 2010.10.23
  • 스톱워치(stop watch) 설계 프로젝트
    설계 목표: FPGA를 사용하여 스톱워치를 설계한다.- 입력 : start/stop, rap/reset 버튼 2개로 구성- 출력 : 7segment 5개를 사용하여 분, 초, 초/10 ... 설계 제안Clockstart/ stopreset/ lapLatchMUX7segment7segmentVccsecond/ 10secondminute1) FPGA 내부에서 클럭을 발생시킨 ... clear 입력이 별도로 존재하여 reset스위치를 통하여 5개의 7490 소자가 모두 동시에 clear되는 구조를 가진다.D LatchEnableD LatchD Latch0.1sec7segment1sec7segment10sec7segment1min7segment10min7segmentD
    리포트 | 7페이지 | 5,000원 | 등록일 2013.12.26 | 수정일 2020.12.14
  • 아주대 논리회로실험 설계 프로젝트 결과보고서
    실험 목적- FPGA를 이용하여 Up ? Down Counter를 설계한다.? 작동 원리 설명1. ... [Segment Output Control Part] : IC set를 지나온 신호들을 7-segment의 control 단자에 알맞게 입력IC set들을 지나온 각 신호들은 7-segment ... 외형으로 보면 큰 모습과 작은 모습의 7-segment가 있었는데, 큰 segment는 세로의 형태로 pin이 있지만 작은 모습의 segment는 가로의 형태로 pin이 있어서 segment의
    리포트 | 8페이지 | 2,000원 | 등록일 2016.06.16
  • 아주대 논리회로실험 설계 프로젝트 예비보고서(Stop Watch)
    설계 이론1) FPGA 보드에 대한 이론: FPGA 보드에 대한 그림 및 Block diagram2) FPGA 보드의 확장 연결: 보드 정면 아래쪽에 위치하는 JP2 커넥티를 플랫케이블 ... Quartus2 프로그램을 이용하여 Stop Watch가 작동할 수 있는 회로를 구성한 뒤, FPGA를 사용하여 Bread Board와 7-segment를 이용하여 동작을 확인한다.2 ... 설계 요소* 입력 : Start/Stop, Lap/Reset 버튼 2개로 구성* 출력 : 7segment 5개를 사용하여 분, 초, 0.1초를 구현1) FPGA 내부에서 클럭을 발생시킨
    리포트 | 7페이지 | 3,000원 | 등록일 2015.11.28
  • [디지털설계] 디지털 시계 설계
    1EAEPC1PC8 롬 1EA1k 저항 3EA스위치 4EA7-Segment 6EARed Led 1EA9V 배터리 1EA기타 소켓 등하드웨어 구현이 끝나면 앞서 설계한 프로그램을 Fpga ... 개 요설계에 앞서 디지털 시계의 기본적인 기능에 대해OD6 카운터의 회로도와 Wave form은 아래와 같다.130ns 전 까지는 10진 카운터의 MSB가 set 되지 않은 상태이고 ... MOD12 동기식 카운터(12진 카운터)이 회로는 1에서 12까지 카운트 하는데 여기서 Mod6 나 Mod10에 비해 틀린것은 1부터 카운트를 시작하는 것과 10이 되면 MSB는 set되고
    리포트 | 36페이지 | 1,000원 | 등록일 2005.06.19
AI 챗봇
2024년 09월 03일 화요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:22 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대