• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(21)
  • 리포트(20)
  • 시험자료(1)

"lab7 시프트 레지스터" 검색결과 1-20 / 21건

  • lab7 시프트 레지스터
    레지스터(Linear feedback shift register, LFSR)선형 되먹임 시프트 레지스터(Linear feedback shift register, LFSR)는 시프트 ... (토)[Lab.#7]Shift Register원리와 74LS164 IC, 74LS194 IC 회로 실습,(option)ADC0804 A/D Converter IC 회로 실습[1]학습목표a ... 레지스터의 일종으로, 레지스터에 입력되는 값이정론
    리포트 | 11페이지 | 1,500원 | 등록일 2010.06.23
  • 건국대학교 마이크로프로세서응용 Lab4, Lab5 A+
    -1을 통해 ATmega128의 PA0~PA7에 연결된 8개의 LED를 시프트하며 점등하는 프로그램을 작성하였다. ... 그리고 서브루틴 내부에서 사용할 레지스터들을 백업해두기 위해 r18 레지스터를 push 하였고, r17(i), r18(음수의 개수) 레지스터를 초기화 시켜주었다. ... FindNegative 함수에서는 먼저, r24와 r25에 입력했던 DataArray의 시작주소를 Z 레지스터로 옮겨주었다.
    리포트 | 25페이지 | 5,000원 | 등록일 2024.04.11 | 수정일 2024.04.22
  • 아주대 기계공학기초실험 랩뷰 프로그래밍 2 결과보고서
    While 루프만 사용하면 전출력값을 새로 넣을 수 없기 때문에 시프트 레지스터를 이용하여 출력값을 다음 루프의 입력값으로 지정한다.6) 다이나믹 데이터로부터 변환랩뷰에서 처리되는 ... 실험 고찰7. 결 론8. 참고 문헌1. 실험 목적랩뷰 프로그램과 DAQ 장치를 이용하여 아날로그 및 디지털 신호의 입출력과 저주파 통과 필터 회로 프로그래밍을 실습한다. ... 시프트 레지스터에 데이터를 입력하기 위해서는 데이터를 스칼라로 변환해서 넣어야 하고 이 때 사용되는 것이 [다이나믹 데이터로부터 변환]이다.3.
    리포트 | 12페이지 | 2,500원 | 등록일 2022.05.20
  • 전자전기컴퓨터설계실험2(전전설2) (6) Flip-Flop and Register, SIPO
    Flip-Flop and Register, SIPOpost-lab reportFlip-Flop and Register, SIPOpost-lab report과목명전자전기컴퓨터설계실험2담당 ... Linear Feedback Shift Register선형 피드백 시프트 레지스터의 실험 과정은 앞의 4비트 SIPO 실험 과정과 크게 다르지 않으므로, 앞의 과정에서 소스코드(.v ... 따라서 시프트 레지스터와 같은 동작을 ject프로젝트를 만들고 프로젝트를 실행할 폴더를 생성한다.HDL을 선택한다.왼쪽 사진과 같이 설정한다.File ⇒ NewText File을 선택한다
    리포트 | 44페이지 | 2,000원 | 등록일 2019.10.12 | 수정일 2021.04.29
  • 통신공학실습 예비레포트 - 실험02-Labview_02
    루프가 끝난 후에 루프의 오른쪽 터미널은 시프트 레지스터에 저장된 마지막 값을 반환한다.다. ... LabVIEW는 시프트 레지스터의 오른쪽 터미널에 저장된 데이터를 왼쪽 터미널로 전송한다. 그 후 루프가 왼쪽 터미널에서 받은 데이터를 다음 반복의 초기값으로 사용한다. ... Pre-Lab 7. PAGEREF _381" 3. Reference (참고문헌) PAGEREF _Toc51261381 \h - 9 -1.
    리포트 | 11페이지 | 2,000원 | 등록일 2021.04.04
  • 조선대학교 A+ / 조선대 메카트로닉스 신호등, 스탑워치, 엘리베이트 labview 프로그램 해석 중간과제
    루프를 만들고 대기상태와 초기값(0)을 나타내는 시프트레지스터를 1번의 케이스에 연결합니다.4. ... 기다림 함수는 8과 마찬가지로 10ms로 설정하여 한 번의 루프 간격 설정합니다.13. 10에서 시프트레지스터로 인가받은 값을 Mon값에 인가하여 현재상태를 확인하게끔 설정합니다.14 ... 종료 값을 인가 받았으면 시프트레지스터로 값을 인가해서 상태를 갱신합니다.* sub_check length.VI18. data_in(total time)을 인가받으면 자릿수를 판단하여
    리포트 | 19페이지 | 2,500원 | 등록일 2023.07.14
  • [최신 A평가 레포트] 메카트로닉스, 신호등, 스탑워치, 엘리베이트 프로그램 코딩
    루프를 만들고 대기상태와 초기값(0)을 나타내는 시프트레지스터를 1번의 케이스에 연결합니다.4. ... 기다림 함수는 8과 마찬가지로 10ms로 설정하여 한 번의 루프 간격 설정합니다.13. 10에서 시프트레지스터로 인가받은 값을 Mon값에 인가하여 현재상태를 확인하게끔 설정합니다.14 ... 종료 값을 인가 받았으면 시프트레지스터로 값을 인가해서 상태를 갱신합니다.* sub_check length.VI18. data_in(total time)을 인가받으면 자릿수를 판단하여
    리포트 | 28페이지 | 3,000원 | 등록일 2022.12.21 | 수정일 2023.02.21
  • 통신공학실습 결과레포트 - 실험02_ LabVIEW_02
    블록 다이어그램블록 다이어그램으로 불러온 뒤 While 루프와 시프트 레지스터, 시간지연 함수를 각각 와이어로 연결해 그림 8과 같이 구현한다.(3) 실험 결과프런트 패널에서 실행하면 ... 그리고 실행 하이라이트로 진행 과정을 관찰한다.(3) 패널에서 값을 넣고 실행한다.(7) Procedure of the Lab7. ... 블록 다이어그램으로1) 프런트 패널그림 SEQ 그림 \* ARABIC 7 – Lab3.
    리포트 | 23페이지 | 2,000원 | 등록일 2021.04.04
  • [조선대 메카트로닉스설계 A+] 기말고사 족보 모음(2008~2018)
    while루프 함수 / 케이스구조를 이용해 디자인 패턴 구현이 가능하다- 형태 : while루프 안에 케이스 구조가 있고,케이스구조의 입력선택자로 열거형 상수를 넣어주는데, 이 때 시프트 ... 랩뷰 문제(1)불리언 버튼을 클릭하면 numeric의 출력값과 이유를 설명하시오. (10점)- 출력값 : 2- 이유 : 불리언 버튼 클릭 -> ‘pane'및 ’Boolean:MouseDown'의 ... 레지스터를 사용한다.(10) LVDT의 기본구조 ─>센서임- 원리 : 자성코어의 변위에 비례하는 전압을 출력하는 변환기.- 특성 : 초정밀성, 반복특성, 극한환경에서 활용된다.다방면의
    시험자료 | 6페이지 | 5,000원 | 등록일 2021.03.14 | 수정일 2023.10.31
  • 전자전기컴퓨터설계2 HBE-COMBO ll VerilogHDL 실습5 [예비레포트]
    출력하는 기능을 함N 비트의 직렬 입력/병렬 출력 레지스터는 N개의 클럭이 발생하는 데이터에 의해서 병렬 데이터가 결정된다.시프트 레지스터와 같은 동작을 함.4비트 SIPO그림 SEQ ... (Register)라고 불리는 플립플롭 그룹에 저장되는데, 데이터를 이 레지스터에 저장하기 위하여 수행하는 동작을 데이터 전송(Data transfer)라고 함.병렬 데이터 전송레지스터 ... 구성된 래치의 동작 진리표S-R 플립플롭S-R 래치에 클럽의 입력이라는 부분을 추가한 회로그림 SEQ 그림 \* ARABIC 6 S-R 플립플롭그림 SEQ 그림 \* ARABIC 7
    리포트 | 16페이지 | 1,000원 | 등록일 2017.10.19
  • 전전컴설계실험2-8주차결과
    시프트 레지스터(Shift Register)라고 한다. ... 시프트 레지스터의 논리적 배치는 한 플립플롭의 출력이 다음 플립플롭의 입력에 종속 연결된 형태로 되어 있다. ... Simulation을 통해 실험 예상값을 확인한다..7. 4-bit Shift Register 의 Test Bench 파일을 생성한다.8.
    리포트 | 16페이지 | 1,500원 | 등록일 2014.03.28 | 수정일 2014.04.15
  • 디지털실험 예비 #3
    (예비)Lab 3. 카운터와 시프트 레지스터디지털 실험이명진 교수님금(09:00 ~ 13:00)2007122043 김병주Due date - 2012.03.23. ... datasheet을 찾아서 작동 방법을 설명하시오.74194는 4-bit shift register이다. 74164와는 다른 점은 저장 가능한 bit 개수도 있지만, 74194는 ... rise edge가 될 때까지는 D값이 변하여도 출력에는 아무런 영향이 없다.2. 74164의 data sheet를 찾아서 작동 방법을 설명하시오.74164는 8-bit shift register이다
    리포트 | 4페이지 | 1,000원 | 등록일 2013.12.12 | 수정일 2014.04.22
  • 아주대 논리회로실험 설계 프로젝트 예비보고서(Stop Watch)
    (무리한 전원 연결은 FPGA 보드 및 PC에 손상을 줄 수 있기 때문이다)1) 카운터: 시프트 레지스터의 출력이 역 상태(K에 Q, J에 Q’)로 입력에 연결시켜 counter로 ... 즉, 00분 00초 0이 된다.⑤ memory 기능 : 랩 동작을 통하여 기억된 어느 순간의 동작시각을 다시 출력하고 싶을 때 가장 오른쪽에 위치한 Dip switch 0 을 위로 ... 7-segment 표시기를 갖는 BCD Counter7-segment 표시기를 갖는 BCD Counter(7490)7-segment 표시기를 갖는 BCD Counter(7447)※
    리포트 | 7페이지 | 3,000원 | 등록일 2015.11.28
  • 아주대 논리회로실험 프로젝트 FPGA로 Stop Watch 만들기
    (무리한 전원 연결은 FPGA 보드 및 PC에 손상을 줄 수 있기 때문이다)1) 카운터: 시프트 레지스터의 출력이 역 상태(K에 Q, J에 Q’)로 입력에 연결시켜 counter로 ... 즉, 00분 00초 0이 된다.⑤ memory 기능 : 랩 동작을 통하여 기억된 어느 순간의 동작시각을 출력할 수 있다.※ 회로에서 카운터의 역할: 카운터는 처음 50Mhz로 주어지는 ... 7-segment 표시기를 갖는 BCD Counter7-segment 표시기를 갖는 BCD Counter(7490)7-segment 표시기를 갖는 BCD Counter(7447)2)
    리포트 | 15페이지 | 5,000원 | 등록일 2015.03.12
  • 논리설계 - 카운터를 MAX-PLUS II 결과 보고서
    그림에 보인바와 같이 직렬 입력, 병렬 출력 시프트 레지스터의 최종 출력을 다시 입력에 귀한시킨 일종의 순환 시프트 레지한편 클럭펄스 인가수에 따라 Q1, Q2, Q3에 논리 1이 ... Ring Counter링카운터는 임이의 시간에 카운터를 구성하는 플립플롭 중 단하나의 플립플롭만이 출력이 1이 되고 나머지 플립플롭이 Q=0이 되는 순환 시프트 레지스터이다. ... Johnson Counter존슨카운터는 타이밍 신호를 생성하기 위한 회로의 플립플롭의 수를 줄이기 위해 시프트 레지스터와 디코더를 이용하여 설계한 타이밍 신호 발생이다.존슨 카운터는
    리포트 | 10페이지 | 1,000원 | 등록일 2009.12.15
  • 8086 CPU와 MC680000의 비교
    디시멀 나눗셈컨디션 셋스톱나눗셈레지스터 반 스왑JMPSSR점프서브루틴으로 점프UNLK언링크LEALINKLSLLSR실효 어드레스 로드스택 링크로지컬 시프트 레프트로지컬 시프트 라이트TASTRAPTRAPVTST테스트와 ... 오퍼랜드 셋트랩오버프로우에 대한 트랩테스트MOVEMOVEMMOVEPMULSMULU무브무브 멀티 레지스터무브 주변 데이터부호붙은 멀티플부호없는 멀티플[표5] MC68000의 명령어4 ... 레지스터의 구성1) 기본적인 구성8086의 Register는 데이터 길이가 16Bit인 14개의 Register를 가지고 있다.
    리포트 | 13페이지 | 2,000원 | 등록일 2007.06.07
  • 실험7[1]. 카운터
    그 외에 귀환 시프트 레지스터는 그대로 일종의 카운터로 볼 수 있는데, 귀환 반복한다. 해서 고리계수기라고도 부르며 그 변형에 Johnson 계수기가 있다. ... 배포된 LAB MANUAL의 내용을 참조하여 작성할 수는 있지만, 그 내용을 그대로 복사하는 것은 허용되지 않는다. 본인이 이해하고 조사한 내용을 기술해야 한다. ... 그림 7-2는 JK 플립플롭으로 이루어진 통상적인 4비트 이진 올려 세기 카운터의 회로도이다.그림 7-2에서 보듯이 JK 플립플롭을 사용하여 모든 앞단의 출력들을 AND 게이트로 모아
    리포트 | 6페이지 | 1,000원 | 등록일 2009.10.26
  • [정보통신]정보통신OHP06
    (a) 시프트 레지스터 구현(b) 1010001101의 입력 보기다항식로 나누어지도록 한 시프트 레지스터를 가진 회로제수을 구현하기 위한 일반적인 CRC 구조6.3 에러 제어◈ 에러 ... 따라서, 메시지 다항식 M(x) = x10 + x7 + x6 + x4단계 3. ... of Chosun UniversityData Communication& Computer Communication06 데이터 링크 제어Computer & Communication LAB.석
    리포트 | 44페이지 | 1,500원 | 등록일 2006.09.25
  • FPGA 구조와 응용
    TTL, CMOS 로직, ECL등의 회로가 기술상으로 분류한 패밀리중에는 게이트 IC 혹은 래치(플립플롭), 디코더, 인코더, 카운터, 시프트 레지스터등 여러 가지의 기능을 갖고 있다 ... 내부구조는 Xilinx의 CLB, Cypress와 Quick Logic의 Logic Cell 등이 대표적인 이 형식을 취하고 있으며 Logic Array형의 내부 구조는 Altra의 LAB가 ... 타이밍이나 기능에 대한 검증이 완료되면 설계된 회로의 실제 동작 속도에 관한 성능을 추정할 수 있다.7.simulation자기가 만든 로직이 제대로 동작할지를 미리 컴퓨터 상에서 살펴보는
    리포트 | 20페이지 | 2,000원 | 등록일 2007.03.23
  • 레지스터의 구성
    있어서 반복수를 카운트하는 카운트 레지스터,시프트 명령의 시프트 수,로테이트 명령의 로테이트 수를 넣는다.(4) DX,DL : 간접어드레스에 의한 입출력 명령시의 어드레스를 지정하는 ... 16비트의 플래그 레지스터로 들어간다.15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0┌─┬─┬─┬─┬─┬─┬─┬─┬─┬─┬─┬─┬─┬─┬─┬─┐│X│X│X│X│ ... (Register)의 세 가지가 있다.ALU(Arithmatic Logical Unit) : 마이크로 프로세서에 전달된 실제 데이터의 조작과 계산을 수행하는 것으로, 덧셈, 뺄셈,
    리포트 | 7페이지 | 1,000원 | 등록일 2001.10.09
AI 챗봇
2024년 08월 30일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:36 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대