• 통큰쿠폰이벤트-통합
  • 통합검색(93)
  • 리포트(88)
  • 시험자료(3)
  • 논문(1)
  • 방송통신대(1)

"logical connector" 검색결과 1-20 / 93건

  • LOGICAL CONNECTOR
    Why do we have to learn Logical Connectors?♣ Why do we have to learn logical connectors? ... So they do not make good pets.Logical connectorsTypes of Logical connectorsSubordinating conjunctions ... meaning and use of Logical Connectors?
    리포트 | 25페이지 | 1,000원 | 등록일 2007.03.13
  • 마이크로컴퓨터 레포트(7)
    BusOutside of ComputerInside of ComputerInternal BusControl BusData BusAddress Bus16/8/24/CPUArithmetic Logic ... ‘A‘ connector· ‘A‘ connector는 컨트롤러 쪽에 연결한다.· ‘B’ connector는 장치에 연결할 때 쓰인다.· 8bit짜리 127 장치 사용· USB2.0- ... 4-pin Firewire 400 connectorThis connector is not powered.· 비디오나 모든 영상장비에 붙어 있는 장치· USB보다는 처리 속도가 빠르다
    리포트 | 10페이지 | 3,000원 | 등록일 2021.05.16
  • 방송통신대「소프트웨어공학」 2019년 1학기 과제물(주제 : 소프트웨어 아키텍처의 설계 등)
    (Component & Connector)뷰, 할당(Allocation)뷰로 구분하고 있다. ... 이 모델은 소프트웨어를 물리적(Physical)뷰, 구현(Implementation)뷰, 프로세스(Process)뷰, 논리적(Logical)뷰로 구분하고, 유스케이스(Usecase) ... 모듈 뷰는 소프트웨어를 분해(Decomposition)하고 구조화하는 개념을 정의하고, 컴포넌트와 커넥터 뷰는 데이터를 할당하고, 동시 실행되는 개념이 정의되고, 할당 뷰에 구현과
    방송통신대 | 4페이지 | 3,000원 | 등록일 2020.02.18
  • 2021 데이터구조 기말고사
    The basic building block for this simulation is the logic gate. ... We can see the connector plays a vital role here.4. ... This in turn causes that gate to process its logic and continues till we have the output.
    시험자료 | 7페이지 | 3,500원 | 등록일 2022.11.07
  • 휴넷회계정보시스템1 영문과제.
    The Internet contains many examples of flowcharts or logic diagrams that help individuals understand ... It’s the most widely-used symbol in flowcharting.is a connector symbol. ... operation symbol which indicates a step that must be done mannually, not automatically.is a off-page connector
    리포트 | 6페이지 | 4,000원 | 등록일 2023.05.26
  • TTL gates 실험보고서
    실험 2: Logic gates-TTL gates와 deMorgan의 법칙1. ... 입력을 oscilloscope의 Ch.1에 연결하고 출력은 Ch.2에 연결한다.trigger는 T-connector를 써서 ext. trig.에 연결하거나 Ch.1을 사용한다. ... XOR는 2 입력 상태가 서로 다를 때 참인 logic이다. 진리표는 표 2와 같다. 표 2를 완성하여 A?
    리포트 | 12페이지 | 1,000원 | 등록일 2021.04.06
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab02(예비) / 2021년도(대면) / A+
    logic을 최종적으로 FPGA Device Configuration까지 수행해서 동작을 확인한다.나. ... 디바이스로 FY232를 사용하고 있다.- PS/2 포트: PC의 입력 장치로 사용되는 PS/2 키보드나 마우스를 연결해 키보드 컨트롤러나 마우스 컨트롤러를 설계해 볼 수 있는 PS/2 커넥터 ... Pre-reportSchematic Design with Logic Gates날짜 :학번 :이름 :1. Introduction가.
    리포트 | 9페이지 | 2,000원 | 등록일 2022.07.16
  • (A+/이론/예상결과/고찰) 아주대 통신실험 예비보고서9
    .- Channel A의50 OMEGA OUTPUT에 BNC T-connector를 설치한다.- 다음과 같이 모듈을 연결한다.4. ... 그림 3-25와 같이 나타내고 다음 연결을 따라해라.- Enclosure/Supply Regulator로부터 Logic Analyzer의 모듈을 제거하고 Noise Mearsurement
    리포트 | 12페이지 | 1,500원 | 등록일 2021.10.24
  • [수치해석] A Linear Vibration Model / 선형 진동이론
    )의 흐름을 특정한 순서도 기호(flow chart symbol)를 사용하여 도식적으로 표현한 다이어그램을 가리킨다.오퍼레이션을 나타내는 것, 판단을 나타내는 것, 커넥터 등 특별히 ... 문제나 작업의 범위를 결정하고 분석하며, 그 해석 방법을 명확히 하기 위해서 필요한 작업과 처리의 순서를 통일된 기호와 도형을 사용하여 도식적으로 표시한 것.프로그램에 관해서는 논리(logic
    리포트 | 10페이지 | 4,000원 | 등록일 2022.06.12
  • OSI 7 계층 참조 모델에 대한 정의 및 계층구조, 계층별 기능에 대하여 기술하시기 바랍니다.
    이 계층에 속하는 네트워크 연결 장비로는 브리지, 지능형 허브 등을 들 수 있다.데이터 링크 계층의 여러 기능은 대개 MAC(Media Access Control)와 LLC(Logical ... .- 허브나 리피터 등의 전기적 신호를 재발생시키는 장비- 각종 커넥터와 같은 전송 매체 연결 소자 등의 기계적인 연결 장치- MODEM, CODEC 등 디지털/아날로그 신호 변환기기본적으로
    리포트 | 11페이지 | 8,000원 | 등록일 2020.09.21
  • 조선대/2019/'논리회로' 중간, 기말 족보 및 회로 실습 레포트
    된다.JK플립플롭에 인버터가 들어가면 D-TYPE으로 변경된다.클록이 하강일때만 상승과 하강을 한다.실습내용 원인과 분석set이 0일떄 1을 출력한다.RESET에 그라운드 쪽으로 2핀 커넥터가 ... logic 0, logic 1 뿐만 아니라 high impedance(high ?Z)출력 상태를 갖는 게이트high-Z state ? ... OUTPUT의 작동을 시연 할 수 있습니다.UNIT FUNDAMENTALSTRI-STATE OUTPUT Logic장치는 3 개의 뚜렷한 출력 상태를 갖는다. high (logic
    시험자료 | 13페이지 | 5,000원 | 등록일 2019.07.15 | 수정일 2020.07.25
  • 네트워크의 주요 기술
    무엇인가 네트워크의 구성요소 OSI(Open System Interconnection) Layer Functions 전송속도의 개념 OSI 계층별 네트워크 장비 Physical and Logical ... Router Bridge Concentrator Supported by: Protocols Standards Software Cables ConnectorsPhysical and Logical ... 24 bits 0000.0 c12. 3456 Serial Number Vendor Code MAC address 는 이세상에서 유일하다.Network Interface Card Connector
    리포트 | 35페이지 | 1,000원 | 등록일 2017.06.06
  • VHDL을 활용한 도트매트릭스 문자 출력
    ,rst : in std_logic;en,sw : inout std_logic_vector(3 downto 0);row : buffer std_logic_vector(7 downto ... 제목Dot_Matrix를 활용한 LED 문자판▣개발내용사용 부품 : 8*8도트 매트릭스, 34핀 확장 커넥터, 34핀 확장 케이블,점퍼와이어, 브레드보드, 저항 (330Ω),Level-up ... QB-FPGA200EP2C-USB Starter Kit (대여)개발기간 : 2013. 10. 28(월) ~ 13. 12. 23(월)대여받은 VHDL 실습용 키트에 3군데 확장 커넥터
    리포트 | 14페이지 | 1,000원 | 등록일 2014.05.15 | 수정일 2014.05.21
  • SOC설계및실습 동기,비동기통신 Report
    PS/2 단자는 독일 공업표준규격(DIN)의 커넥터 규격인 미니 DIN 6P 커넥터를 사용한다. ... 12V)와 +5V~+15V(표준 +12V) 전압을 사용하며(최대 ±25V), Logic ‘1’을 위해 (-)전압을, Logic ‘0’을 위해 (+)전압을 쓴다.오른쪽 그림은 RS-232
    리포트 | 13페이지 | 2,000원 | 등록일 2014.06.20
  • Leadership of Meg Whitman - 5901 LAL Language and Communication for Business and Commerce
    Analyser leaders have characteristics of being logical, serious, and critical based on a low level of ... Leffel’s (2010) framework, this essay will present how Meg Whitman’s leadership style of Analyser and Connector ... Because of these examples and reasons, Meg Whitman could be considered an Analyser and a Connector.Following
    리포트 | 4페이지 | 1,000원 | 등록일 2014.10.09
  • 하드디스크에 대한 report
    dongsuloveme" http://blog.daum.net/dongsulovemeEIDE의 특징은 다음과 같다.(1) LBA모드를 이용하여 528MB의 용량 한계를 극복LBA(Logical ... 그 내용은 EIDE 포트 구성으로, EIDE는 2개의 커넥터를 가지고 있는데 1개의 커넥터에 2개의 장치를 연결 총 4개까지 연결 가능하며 첫 번째 커넥터를 프라이머리 IDE(Primary ... 하드디스크 커넥터 종류 및 연결방식 -------------------------------------- 3 - 7Ⅳ.
    리포트 | 14페이지 | 3,500원 | 등록일 2015.02.12
  • 컴퓨터와 정보화사회(컴정) 1차과제 과제점수 100점 총 점수 A+
    , 읽기 전용 콤팩트 디스크 기억 장치(CD-ROM), ATA 패킷 인터페이스를 통한 테이프 장치들을 지원한다. 528MB 이상의 구동 장치에 접근하기 위해서는 28 비트 LBA(Logical ... 최대 4개의 장치까지 연결 가능하며, 최대 8.4GB의 용량을 인식한다. 1994년에 미국 표준협회(ANSI) 표준으로 채택되었다.삼성의 250GB EIDE HDD EIDE CONNECTOR3 ... 하드디스크 커넥터 종류 및 연결 방식하드디스크의 연결 방식에는 IDE, EIDE, SCSI가 있다.1.
    리포트 | 12페이지 | 2,500원 | 등록일 2014.12.27
  • 실험 10 신호발생기와 오실로스코프 사용법 예비보고서
    기종에 따라서는 TTL(transistor-transitor logic) 신호크기 (0V, 5V)로 고정된 TTL 출력 단자가 있는 경우도 있다. ... 트리거 부분에는 트리거 레벨을 조절하는 노브와 트리거가 되는 신호의 기울기를 선택하는 스위치가 있다.(2) 사용법신호발생기는 신호의 입출력에 BNC connector를 사용하며 대부분의
    리포트 | 6페이지 | 1,000원 | 등록일 2017.11.08
  • Punctuation Rules
    -It is not logical, is it? ... Before Connectors Conjunctive Adverb, Independent clause; OR independent clause.
    리포트 | 25페이지 | 2,500원 | 등록일 2016.06.28
  • 통신실험 결과 9
    DIVChannel 15 V/DIVChannel 25 V/DIVVertical ModeALTTriggerEXTOn the PCM EncoderCOMPRESSION LAWDIRectOn the Logic ... Refer to Figure 3-21 and make the following connections:- Install a BNC T-connector and a 600Ω on the ... Refer to Figure 3-15 and make the following connections:- Install a BNC T-connector on the 50OMEGA OUTPUT
    리포트 | 13페이지 | 1,000원 | 등록일 2014.12.05 | 수정일 2014.12.19
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
12:37 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대