• LF몰 이벤트
  • 파일시티 이벤트
  • 유니스터디 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(25)
  • 리포트(25)

"multiplier 7segment" 검색결과 1-20 / 25건

  • 서강대학교 21년도 디지털논리회로실험 8주차 결과레포트 (A+자료) - Shift Register, Multiplier, 4-digit 7-segment display
    -Multiplier 설계를 통해 shift register의 활용방법을 익힌다.-4-digit 4-segment display의 구동원리를 이해하고 활용을 위한 회로를 설계한다.이론2 ... 이 때, serial out인 DIO7에서는 0->1->0->1이 출력되었다. ... -LUT4_segmentLUT4_segment는 하나의 digit에 원하는 정보를 표시해주는 역할을 하게 된다.STEP 6:그림5-1에서, M4_1E의 output을 O(3:0)이라는
    리포트 | 33페이지 | 2,000원 | 등록일 2022.09.18
  • [고려대학교 디지털시스템실험] - 모든 주차 A+ 결과보고서 총집합
    실험제목 Verilog, Quartus 툴 사용방법실험목표 Verilog 사용법을 이해하여 설계한 회로의 동작을 검증한다. 실험결과해당 주차에서는 Verilog의 기본적인 문법 및 설계 방식에 대해 알아본 후, 다음과 같은 그림의 회로를 설계해보는 실험을 수행하였다. ..
    리포트 | 45페이지 | 2,500원 | 등록일 2022.12.24 | 수정일 2023.01.02
  • 서강대학교 디지털논리회로실험 8주차 - Shift Registers
    그리고 4-digit 7-segment display의 구동원리를 이해하고 활용을 위한 회로를 설계한다.2. ... 실험 목적Shift register의 구조와 동작원리를 이해하고, Multiplier 설계를 통해 shift register의 활용방법을 익힌다. ... 되도록 설계된 소자다. clock의 한 주기 당 하나의 FF만큼 data를 shift하므로 1bit씩 shift 된다고 볼 수 있다.이러한 shift register는 입출력 방식을
    리포트 | 24페이지 | 1,500원 | 등록일 2024.08.17
  • [서울시립대] 전자전기컴퓨터설계실험2 / 파이널 계산기 / 2021년도(대면) / A+ (코드파일 포함)
    Introduction- 앞서 수행한 실험들(논리 설계, 7-segment와 Piezo 장치 제어, LCD 장치 제어 등)을 바탕으로, Verilog HDL 언어를 사용하여 최종적으로 ... 각각의 기능은 testbench 작성을 통한 simulation 수행과 장비 동작을 통해 검증한다.2. ... - input을 인가한 뒤, 곱셈을 수행하기 위해서 Multiply(Bus SW 5)와 Result(Button SW F) 버튼을 인가해주면 계산 결과값이 LCD LINE2에
    리포트 | 25페이지 | 20,000원 | 등록일 2022.08.12 | 수정일 2022.08.18
  • (해외 MBA-재무회계) Tesla 재무회계 분석 보고 발표
    segments The Automotive segment includes the design, development, manufacture and sale of EV The company ... OverviewFuture Trends Planning to add models in all segments Compact sport Model Y Pick-up trucks Heavy-duty ... hurdle is the large proportion of debt holding in its balance sheet Since 2013, total debt has been multiplied
    리포트 | 18페이지 | 5,000원 | 등록일 2019.12.29
  • verilog code - (combo kit) 10진수 2자리수 곱셈, led, 7-segment, vfd로 출력
    입력값을 기다린다.VFD는 입력값을 받고, 출력값을 나타내는 것은 7-segment와 동일한 방법으로 구현한다.7-segment와 다른점은 연산자와 등호 출력하는 것인데, 연산자는 ... 7 - Segmentcombo-1 kit에 있는 7-segment display 갯수는 총 8개 이다.7-segmet는 한 display에 16진수 표현법으로 0부터 F까지 모두 표현할 ... segment, VFD의 각각의 세부적인 출력 방법이 다르기 때문에 그 점을 유의하면서 코딩해야한다.?
    리포트 | 14페이지 | 2,000원 | 등록일 2014.04.25
  • 디지털논리회로실험(Verilog HDL) - 8-bit Signed Adder/Substractor, Multiplier
    결과값은 wireS에 저장한다. hexA,hexB는 A의 실제값이 아닌 7-segment에 띄울 값을 저장한다.key1이 눌리면 sw[15:8]까지의 값을 A가 받고 sw[7:0]까지의 ... The hexadecimal values of A and B are to be displayed on the 7-segment displays HEX6 and HEX4, respectively ... 3 = 1kar structure, this type if multiplier circuit is usually called an array multiplier.
    리포트 | 19페이지 | 1,000원 | 등록일 2019.08.29
  • 7segment 구현
    a circuit which multiplies two 2-bit binary numbers and displays the answer in decimal on a seven-segment ... For example, "1" is displayed by lighting segments 2 and 3, "2" by lighting segments 1, 2, 7, 5 and 4 ... Factoring or multiplying out is required in some cases.AND게이트 10개 OR게이트 6개로 구현했습니다.
    리포트 | 16페이지 | 4,000원 | 등록일 2010.11.06 | 수정일 2022.08.11
  • 디지털논리회로실험(Verilog HDL) - Real-time clock, counter
    이 값을 HEX에 넣어 7-segment를 띄운다. ... It should display the hour (from 0 to 23) on the 7-segment display HEX7-6, the minute (from 0 to 60) ... Display the contents of the counter on the 7-segment displays, HEXM-1.
    리포트 | 8페이지 | 1,000원 | 등록일 2019.08.29
  • verilog-계산기(calculator)A+자료 코드및 레포트
    (시뮬레이션 값과 동일)코드입력에 첫번쨰로 `timescale 1ns/10ps으로 원하고 자하는 spec의 타임스케일값을 입력하였으며 그 결과 시뮬레이션과 같이 100000ps까지
    리포트 | 9,000원 | 등록일 2019.08.24 | 수정일 2023.11.02
  • FINAL Project booth multiplier 와 carry Look ahead adder를 이용한 자판기 설계
    동전의 나타내는 switch를 토글 시킨 후 clk을 넣어주면 그 동전의 액면가가 7segment를 통해 나오고, 같은 동작을 반복할 때 마다 금액이 더해져서 넣은 총 금액이 표시됩니다 ... (go_hex[0]),.display(oHEX7_D));bcd7seg h6(.bcd(go_hex[1]),.display(oHEX6_D));bcd7seg h5(.bcd(go_hex[2 ... [11:8]),.display(oHEX2_D));bcd7seg h1(.bcd(money[7:4]),.display(oHEX1_D));bcd7seg h0(.bcd(money[3:0])
    리포트 | 22페이지 | 5,000원 | 등록일 2018.04.04
  • 전자_디지털회로 곱셈기 설계과제
    K-Map (부호, seven-segment)seven-segment가 Common-anode 타입이라 1일 때 동작하는 것이 아니고 0일 때 동작하므로 K-Map 작성 시 0과 1을 ... )34회로도45Maxplus 2 code design56~7Data Sheet68~9검토1. ... 대응되는 곱셈의 연산결과값(Signed)에 맞게 Seven-Segment LED단자가 on/off되는 회로이다.◎ 목차번호Page내 용12Truth Table23~4K-Map (부호, seven-segment
    리포트 | 9페이지 | 1,000원 | 등록일 2018.01.29 | 수정일 2019.10.26
  • 산술 논리 시프트장치(ALU)를 이용한 디지털 계산기 설계
    SEG먼트를 사용한 후 하나의 계산기로 블록도화 함.10%곱셈구현20%7-segment20%나눗셈구현30%최종보고서 작성회로도 작성 전 서론부분과 회의일지 작성, 회로도 작성 후 최종보고서 ... (M7,M6,M5,M4,M3)-MULTIPLIER 회로도-나눗셈의 구까지 빼는 방식을 이용하여 구현하였다. ... -PlusMinus의 회로--2’S CP 8BIT의 회로-7-Segment와 진수변환-7-SEGMENT-2진수 4자리를 입력 받아서 7SEG먼트로 십진수를 표현해 주는 회로이다. 4개의
    리포트 | 20페이지 | 4,000원 | 등록일 2011.05.25
  • 자판기설계원리 모듈별 코드분석.
    일의 자리는 항상 ‘0’ 이므로 , Top module 에서 정의하여 사용한다 . - 자판기 설계에서 12bit 의 금액을 입력받아 각 단위의 수를 구하여 금액의 각 단위의 값을 7segment ... 가지 : 1500, 1000, 750, 500, 250, 100, 50 상품 개수 선택가능 seven segment 를 이용해서 개수 표현설계 구조 i sw [3:0] isw [15 ... --160083 sid=Shs90lgjG0oAAE@OGZw Booth multiplier (source)http://kin.naver.com/detail/detail.php?
    리포트 | 37페이지 | 1,500원 | 등록일 2015.11.26
  • verilog code - (combo kit) 10진수 2자리수 나눗셈, led, 7-segment, vfd로 출력
    7 - Segmentcombo-1 kit에 있는 7-segment display 갯수는 총 8개 이다.7-segmet는 한 display에 16진수 표현법으로 0부터 F까지 모두 표현할 ... segment와 동일한 방법으로 구현한다.7-segment와 다른점은 연산자와 등호 출력하는 것인데, 연산자는 ‘/’으로 출력해야 하고, 첫 번째 keypad 입력을 누르고 떼는 그 ... (dot 은 각 7-segment의 display 자리에 하나씩 있으므로 자리수를 차지하지 않는다.)그러므로 8개의 display 중 입력값을 나타내는데 쓸 수 있는 display수는
    리포트 | 15페이지 | 2,000원 | 등록일 2014.04.25
  • GPIO 입출력제어 예비보고서
    segment LED), Array FND, Text LCD, Sensor, Memory, Relay(계전기: 전기회로에서 회로를 두 개로 나누어 한쪽에서 신호를 만들고 그 신호에 ... 실험(4)에서는 AVR을 사용하는데 간단히 말해 신호처리를 디지털로 구현하는 시스템이라고 표현할 수 있다.(6) 마이크로컨트롤러multiplier(두 주기로)③ 고성능 비휘발성 메모리 ... 송수신기)용 단자로도 사용된다.내부적으로 풀업 저항(20㏀∼50㏀) 8비트의 양방향 I/O 포트로 사용 가능PE7(핀9) INT7/ICP3 : 외부인터럽트 7 혹은 타이머3 입력
    리포트 | 25페이지 | 1,000원 | 등록일 2017.04.02
  • 마이크로 프로세서 Term_AVR 제어
    flag = r19 ; LED input/ouput, segment / remotecon IR_reciever.def segen= r20.deftd, temp;ldi temp, LOW ... INT) & INT1 & INT2 On, Mode = Falling EdgestsEICRA, temp;lditemp, LOW(0);outEICRB, temp ;lditemp, LOW(7) ... ZH, HIGH(2*CmdCursor) ; Since program memory is 16 bit (2 byte)ldi ZL, LOW(2*CmdCursor) ; we have to multiply
    리포트 | 22페이지 | 4,000원 | 등록일 2013.10.13
  • verilog이용한 자판기설계 코드
    verilog를 이용하여 구조적 vending machine 설계입력부 중간부 출력부로 구성되어 있으며 각 구조마다 test bench로 module별 test하나의 완전한 구조로 제작.
    리포트 | 2,000원 | 등록일 2015.11.26 | 수정일 2015.12.04
  • 상부요로 해부학
    system)혈관분포신동맥 : 단동맥 (End arteries) : 전, 후 구역동맥 (Anterior, Aosterior segmental artery), 엽사이동맥 (Interlobar ... )수질까지 뻗은 긴 Henle 계대와 직소동맥 (Arteriola recta) → 급속한 전해질 교환에 의한 반류교환 혹은 배가체계 (Countercurrent exchange, Multiplier ... incision) : 전분지와 후분지 동맥의 경계부에 있는 무혈관성 (avascular)선, 콩팥실질의 절개에 이상적인 위치신정맥① 우측 신정맥 : 2.5cm② 좌측 신정맥 : 7.5cm
    리포트 | 7페이지 | 1,000원 | 등록일 2013.12.07
  • The Chinese Middle Class and its consumer behavior 중국의 중산층과 그들의 소비성향에 대해 영어로 발표한 피피티
    Consumer behaviour Conclusion Chinese Middle Class characteristics Despite high increase in the high segment ... Today 82% already belongs to low end middle class Within the next ten years high middle class will multiply ... growing level of discernment will also lead to the emergence of distinct groups of cos goods will grow 7.2%
    리포트 | 27페이지 | 3,300원 | 등록일 2012.12.13
AI 챗봇
2024년 09월 04일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
1:56 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대