• 파일시티 이벤트
  • LF몰 이벤트
  • 유니스터디 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(189)
  • 리포트(175)
  • 시험자료(7)
  • 논문(2)
  • 서식(2)
  • ppt테마(2)
  • 방송통신대(1)

"standby" 검색결과 1-20 / 189건

  • 대기전력(待機電力 : standby power)
    대기전력(待機電力 : standby power)1.
    리포트 | 5페이지 | 1,000원 | 등록일 2011.05.18
  • 보증 신용장 (standby L/C) 개념과 사례
    보증 신용장 (standby L/C) 개념과 사례1. 개념보증신용장 (standby L/C)은 보증의 채권자가 보증의 채무자 앞으로 발행하는 증서이다. ... 된다.Upon receipt by us of your above sight draft(s) and signed statement under this standby L/C, We will ... than the expiry date of this standby L/C.본 신용장은 UCPp 500의 적용을 받는다.
    리포트 | 4페이지 | 1,500원 | 등록일 2011.06.28
  • gateway이중화 실습설정
    1 ip [ 가상 라우터 IP] standby 1 priority 120 standby 1 preempt( 장애로부터 살아났을 시 Active) ex) standby 1 preempt ... time 값 Standby 라우터의 IP 와 priority 값 show standby briefHSRP 확인 Show standby Standby 라우터 Virtual IP Mac ... delay minimum 30 ( 장애로부터 살아났을 시 30 초 뒤 Active) R2 int [ 포트 ] standby 1 ip [ 가상 라우터 IP] standby 1 preempt
    리포트 | 7페이지 | 2,000원 | 등록일 2020.11.25
  • 부경대 전자공학과 디지털시스템설계 기말2(교통 신호등)
    ;use ieee.std_logic_arith.all;entity traffic_sign isport( clk,standby,test : in std_logic; segR1, segY1 ... , segG1 : out std_logic_vector(6 downto 0);segR2, segY2, segG2 : out std_logic_vector(6 downto 0));end ... [수행 및 제출(2)][수행 및 제출(1)]에서 작성한 상태도에 따라 교통신호등 제어기를 VHDL로 설계하시오.library ieee;use ieee.std_logic_1164.all
    리포트 | 6페이지 | 4,000원 | 등록일 2022.02.05 | 수정일 2023.12.07
  • 소아 작업치료 Activities of Daily Living 일상생활평가
    최소 50m를 이동할 수 있으나 감독(standby, cuing, coaxing)이 필요하다.4. ... 지도감독(Supervision or Setup)감독(standby, cuing, coaxing)이 필요하거나 준비(보조기 착용)해 주는 것이 필요하다.4. ... 지도감독(Supervision or Setup)감독(standby, cuing, coaxing)이 필요하거나 준비(옷입기와 관련된 보조기를 착용시키거나 옷과 옷을 입기 위한 장비들을
    리포트 | 15페이지 | 4,000원 | 등록일 2020.06.07 | 수정일 2024.02.27
  • 교통신호등 제어기 VHDL 설계
    수행 및 제출(4)교통신호등 제어기에는 대기 모드(standby mode), 평시 모드(정상 동작), 테스트 모드로 총 3가지의 동작 모드가 존재한다. ... 그리고 이 신호들을 segment를 이용하여 신호등 두 개로 6부분으로 나누어서 각 상태에 따라 각 segment에 출력을 넣어 결과를 확인 할 수 있도록 설계를 하고 컴파일과 시뮬레이션까지
    리포트 | 4페이지 | 2,500원 | 등록일 2022.05.26 | 수정일 2022.12.15
  • 개인 및 위치정보 문제 해결을 위한 공유모빌리티 대기데이터 기반 통행분석 방안 연구
    한국도로학회 강희찬, 양은혜, 안우영
    논문 | 8페이지 | 4,000원 | 등록일 2023.07.03
  • 신용장(L/C) 종류, 당사자, 통지절차 발표 ppt
    거래은행에 의뢰하여 해외지사의 거래은행을 수익자로 하는 Stand-by L/C 를 개설 해외지사의 거래은행은 이것을 담보로 지사에 금융상의 혜택을 줌신용장의 종류 5 회전 신용장 (standby ... 은행이 발행 원료 또는 완제품 공급자는 제 2 의 수익자 내국 신용장 (local L/C) : 국내의 원료 또는 완제품 공급자를 수익자로 발행한 신용장신용장의 종류 5 보증 신용장 (standby ... ) 기한부신용장 (documentary L/C) : 신용장에 의거 발행되는 환어음을 지급인에게 제시한 후 일정기간이 경과되어 지급 할 것을 명시한 신용장 반대 : 일람출급신용장 (sight
    리포트 | 15페이지 | 1,000원 | 등록일 2021.10.31
  • Short channel effect(SCE)의 모든것
    우리가 만약에 스마트폰을 동작시키게 되면 on current도 중요하지만 실제로 off (standby) 상태로 사용하는 경우가 많기 때문에 off current도 매우 중요하다.on ... 얻어낸 식으로 Vt-long과 Vt-short의 차이를 나타낸다. ... Depletion Charge sharing세 가지로 나누어져 있고 Vt roll off가 발생하는 이유로 다 다른 원인으로부터 발생하지만 결과적으로는 어떠한 원인으로 인해서 채널의
    리포트 | 13페이지 | 2,000원 | 등록일 2020.08.26 | 수정일 2022.01.10
  • Laser에 노출된 환경에서 근무하는 병원 직원과 환자를 위한 안전한 환경을 제공하는 실무자에게 도움을 줄수있는 지침
    발판의 적절한 위치와 standby switch 의 사용에 대한 주의로 레이저빔의 잘못된 사용으로 인한 환자와 시술자 그리고 주변인에 대한 잠재적인 손상한다 . ... 레이저는 사용중이 아닐때는 반드시 standby 상태로 유지해야 한다 . 레이저 발판은 작동자에게 편리한 위치에 놓여져야 하고 , 레이저 작동기전은 작동전에 확인되어져야 한다 . ... 전신마취하에 시술받는 환자의 눈은 wet eye pads 나 laser specific shields 등으로 적절하게 보호되어야 한다 .
    리포트 | 37페이지 | 3,000원 | 등록일 2023.08.23
  • 비상발전기관리지침(표준)
    용어의 정의비상발전기(영어: standby generator): 상용전원의 공급중단 시에 대체 전력으로 공급하는 비상전원(예비전원)으로서, 이를 위한 발전기를 비상발전기라 한다.책임과 ... W로 발전기 기동3) 트로틀 V/V로 RPM 계기 수치를 1800 RPM까지 천천히 상승시킨다.4) 전압계를 440V까지 미세 조정한다.5) MAIN MCCB S/W를 ON 위치에 ... 미치지 않도록 한다.4.3 비상발전기 가동절차1) 가동 전 점검① 엔진오일 상태② 냉각수 점검③ 배터리 전압 CHECK④ 각종 계기점검⑤ 연료 확인2) 점검 후 SELECTOR S/
    리포트 | 3페이지 | 2,500원 | 등록일 2022.02.27 | 수정일 2022.03.04
  • 무역실무 수출 아이템 발표 영어 PPT 자료
    high pressure, zero-pressure cooking ·Double packing ·Separable cover by touching once ·Block switch standby ... S U R E T W I N P R E S S U R E CUCKOO POWERFUL MILD - ZERO{nameOfApplication=Show} ... S U R E T W I N P R E S S U R E CUCKOO POWERFUL MILD - ZEROProduct name : CUCKOO Twin pressure electric
    리포트 | 13페이지 | 2,500원 | 등록일 2022.04.24
  • Standby Letter of Credit contract(영문)
    ‘seller’s ceo name’, HOLDER OF A xxxxx PASSPORT NO: xxxx AS THE COMPANY'S C.E.O. ... The copy will be send via bank secured email to all parties and authentication shall follows bank to ... EDT documents shall be subject to European Community Directive No. 95/46/EEC, as applicable.
    서식 | 13페이지 | 5,000원 | 등록일 2021.11.08
  • 분만실, 산과병동 대상자 2명 간호일지 날짜별 자료 정리
    02:26) fetal deceleration 있음(FHT: baseline 140대에서 60대까지 떨어짐)자궁 수축 (빈도: q 2분, 규칙성)O2 full로 주고, vacuum standby산소 ... 1번 살짝 느껴짐질에서 spotting 있음. ... X질에서 spotting 있음 (양상: serosanguineous, 정도 : 거의 없음)[5/19] 간호일지NRS 2점자궁수축 (빈도: 3회 / 30분) 규칙적이지 않음한시간에
    리포트 | 4페이지 | 2,000원 | 등록일 2024.06.18 | 수정일 2024.06.21
  • 캡스톤 발표 자료
    well with even a small voltage Low standby power Unidirectional Delayed Saturation Cross over regionPROCESS ... It also solved TFET's Uni-direction , Delay duration , and Wide transition . ... It also solved TFET's Uni-direction , Delay duration , and Wide transition .
    리포트 | 17페이지 | 10,000원 | 등록일 2023.06.22
  • B-737 NG Hydraulic System 항공기 유압계통 및 공압계통 요약 정리
    -A 시스템, B 시스템-737NG 는 A 시스템, B 시스템, standby 시스템의 세 가지 유압 계통이 있습니다.항공기의 많은 시스템이 유압 계통을 중요하게 사용하고, 종종 작동에 ... reservoirs 는 main landing gear wheel well의 가장 눈에 띄게 잘 보이는 장비이며 전방 bulkhead에 위치합니다.wheel well의 센터 후방은 standby ... -Power Transfer Unit-PTU는 시스템B가 감압된 경우 leading edge flap과 slat에 대체하는 유압파워 source를 제공합니다.PTU는 시스템 A 압력을
    리포트 | 5페이지 | 3,000원 | 등록일 2020.03.14
  • 클라우드컴퓨팅-온라인 상품 등록 구축계획리포트
    Apache Tomcat 8.5- DB서버 : MariaDB( 초기 : Active-standBy 구성 / 추후 : Master-Slave(2식) 갈레라 Cluster 구성으로 변경
    리포트 | 5페이지 | 2,000원 | 등록일 2022.09.15
  • pulse oximeter와 EKG monitoring 적용
    RESP( 분당 호흡수 )Monitor 조작법 1) POWER. - ON/standby key 2) Mains power ON (light) or OFF (dark) 3) Admit ... Time since the last autocycle KKK measurement 5. ... - 환자의 V/S data 가 저장되어 있으며 review 할 수 있다 .감사합니다 .
    리포트 | 15페이지 | 2,000원 | 등록일 2020.05.22
  • 비디오 에스노그래피를 이용한 서비스 로봇의 대기상태 행동패턴 연구
    한국감성과학회 송현수, 김민중, 정상훈, 석현정, 권동수, 김명석
    논문 | 8페이지 | 4,000원 | 등록일 2023.04.05
  • IMF(국제통화기금)
    대기성 차관협정(Standby Arrangements)을 도입하여 회원국이 실질적 필요를 예상해서 미리 대출한도액을 형상할 수 있도록 하였다.1961년에는 10개국이 대기성 차관(standby ... 우리나라는 1955년 8월 26일 IMF에 58번째 회원국(14조국)으로 가입했으며 65년부터 87년까지 대기성차관(stand-by credit) 1억9000만sdr(특별인출권)를
    리포트 | 5페이지 | 2,000원 | 등록일 2024.08.27
AI 챗봇
2024년 09월 02일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
9:23 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대