• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(1,546)
  • 리포트(1,438)
  • 시험자료(62)
  • ppt테마(18)
  • 방송통신대(13)
  • 자기소개서(7)
  • 논문(6)
  • 서식(2)

"std11" 검색결과 1-20 / 1,546건

  • std11강의 특성
    Sand Paper : #60에서 #600까지 필요.알루미나(Al203) : 최종 표면 연마시 필요.*직접 샌드페이퍼로 폴리싱을함. ... 그 이유로서는 다음과 같은 것을 들 수 있다.① Quenching시에 형성되는 내부응력(內部應力, internal stress) 때문에, 연삭 등의 다듬질 가공을 하면 응력의 균형이 ... 실험목적전기로에서200℃까지 열처리 한 후 60분간 열처리되어진 STD11를 공냉후 공구강재의 순차적인 Quenching 방법 및 Tempering으로 인한 STD11 냉간공구강재의
    리포트 | 6페이지 | 2,000원 | 등록일 2009.06.30 | 수정일 2016.06.10
  • 금속재료기사 작업형_족보, 요약, 사진정리 _ 자격증 취득자의 핵심 요약집
    STC3 ✕ 200STD11 ✕ 200STC3 Vs STD11 탄화물의 형상이 구상으로 일정한지? 다각형인지? 밝은 느낌인지? 어두운 느낌인지? ... STS3 ✕ 200SKH51 ✕ 200STS3 ✕ 200STD11 ✕ 200SKH51 ✕ 200STD11 ✕ 200STS3 x 500페라이트와 구상탄화물SKH51 x 500바탕조직 ... : 페라이트 굵은 백립 : 공정탄화물 미세 입상 : 석출탄화물STD11 x 500바탕조직 : 페라이트 + 구상탄화물 굵은 백립 : 공정탄화물 흩어져있는 미세 입상 : 2차 탄화물STC3
    시험자료 | 19페이지 | 8,000원 | 등록일 2020.06.01 | 수정일 2022.10.14
  • [방송통신대학교]C++프로그래밍_동영상강의,기출_핵심요약노트
    명칭공간 : 표준 C++ 라이브러리의 명칭들이 정의되어 있는 명칭공간using namespace std; // 특정 명칭공간 간소화using std::cout; // 특정 명칭공간 ... 프로그래머가 작성한 프로그램을 결합하여 완성된 프로그래을 만들 경우 각자 필요한 명칭을 독립적으로 만들어 사용할 수 있음전역 명칭공간 : 특정 명칭공간에 속하지 않는 기본 명칭공간(::)std ... *** 키워드 : C++ 언어에서 미리 용도를 정해 놓은 단어로 반드시 정해진 용값이면 참논리 곱 &배타적 논리 합 ^두 값이 같으면 0, 다르면 10 ^ 0 = 01 ^ 0 = 11
    방송통신대 | 35페이지 | 3,000원 | 등록일 2023.04.09
  • 건국대학교 전기전자기초설계및소프트웨어실습 4주차 레포트 A+
    () % 11;sum = student[fi].mid_term + student[fi].final_term + student[fi].attendance;if (sum > 80)student ... 'A';std1.mid_term = 97;std1.final_term = 92;std1.attendance = 10;printf("std1's grade is %c\n", std1. ... ;}; // 구조체의 정의는 반드시 ;로 끝나야 한다.int main(){struct student std1;std1.grade = 'A';std1.mid_term = 97;std1
    리포트 | 25페이지 | 7,000원 | 등록일 2024.04.14 | 수정일 2024.04.22
  • 요로감염 UTI(urinary tract infection) case study
    /11 TD 저 잔사식(죽+반찬)11/12 TD 저 잔사식(죽+반찬)11/13 TD 저 잔사식(죽+반찬)11/14 TD 저 잔사식(죽+반찬)11/15 TD 저 잔사식(죽+반찬)11/ ... 기타 치료 현황(시행한 날짜를 포함하여 기록)외과적 중재 (수술)-식이요법11/8 TD 저 잔사식(죽+반찬)11/9 TD 저 잔사식(죽+반찬)11/10 TD 저 잔사식(죽+반찬)11 ... 16 TD 저 잔사식(죽+반찬)11/17 TD 저 잔사식(죽+반찬)11/18 NPO운동요법11/8 Bed rest11/9 Bed rest11/10 Bed rest11/11 Bed rest11
    리포트 | 25페이지 | 2,000원 | 등록일 2022.10.30
  • 디지털설계 실습보고서
    소스코드library IEEE; //VHDL에서 사용할 라이브러리를 지정하는 명령어use ieee.std_logic_1164.all; //ieee라는 라이브러리에서 ieee.std_logic ... _1164라는 이름의 패키지를 가져오겠다는 뜻use ieee.std_logic_unsigned.all; //ieee라는 라이브러리에서 ieee.std_logic_unsigned라는 ... 이름의 패키지를 가져오겠다는 뜻entity ALU isport(Sel : in std_logic_vector(2 downto 0);CarryIn : in std_logic;A, B
    리포트 | 3페이지 | 1,000원 | 등록일 2021.12.28
  • 부경대 전자공학과 디지털시스템설계 중간2(디멀티플렉서)
    (s) begin case s is when "00" => y(0) y(1) y(2) ... _1164.all;entity demultiplexer is port ( s : in std_logic_vector(1 downto 0); i : in std_logic; y : out ... std_logic_vector(3 downto 0) );end demultiplexer;architecture design of demultiplexer is begin process
    리포트 | 6페이지 | 4,000원 | 등록일 2022.02.05 | 수정일 2023.12.07
  • VHDL를 이용한 FPGA설계 레포트코드해석본
    clk10 : std_logic;signal clk11 : std_logic;signal clk12 : std_logic;signal clk13 : std_logic;signal ... ;architecture design of test7777 istype bst is ( b0, b1, b2,b3, b4, b5, b6, b7, b8, b9, b10, b11, b12 ... std_logic;signal clk2 : std_logic;signal clk3 : std_logic;signal clk4 : std_logic;signal clk5 : std_logic
    리포트 | 25페이지 | 2,000원 | 등록일 2021.09.26
  • [A+ 성적증명] 건국대 컴퓨터프로그래밍 2 7주차 과제(강의자료 p.38~44)
    포인터값(이중포인터)가 존재하지만 str3와 같은 배열의 경우 stack영역에 배열이 생기는 것이므로 &str3와 같은 방법으로 이중포인터를 전달할 수 없다. 8-11문자열을 비교하기 ... 출력 끝에 std::endl을 써 주어야 함. ... 출력 끝에 std::endl을 써 주어야 함. 14parameter가 x와 y의 각 포인터값이므로 &x,&y를 입력.
    리포트 | 9페이지 | 1,500원 | 등록일 2022.07.08 | 수정일 2024.07.25
  • 정규 분포를 이용한 불량률 추정 2
    normal_cdf(x, mean, std):return norm.cdf(x, loc=mean, scale=std)# 데이터 생성x_values = np.arange(0.10, 0.16 ... import normfrom scipy.optimize import curve_fit# 주어진 불량율과 압력 범위pressure_ranges = [(0.10, 0.11), (0.11 ... 주어진 데이터에 따르면, 압력이 0.1부터 0.11일 때 불량율 평균은 3%입니다.
    리포트 | 4페이지 | 2,500원 | 등록일 2023.07.30 | 수정일 2023.07.31
  • 금속재료 조직 판별
    큰 구상의 입자들이 관찰된다 → 1000배 확인 →STC3→ 500배 까지 들어갔는데 굵은 상들만 보이고 구상인지 아닌지 미세 입자들의 모양은 잘 모르겠다 → STS3 or STD11 ... 경향이 관찰되었으니 참고하시면좋을 것 같습니다.→ 1000배에서 드디어 속이 빈 형태의 미세한 구상 입자가 관찰된다 → STS3→ 1000배까지 오니까 검은 반점이 많다 → STD11 ... → 희미한 얼룩말 무늬 및 1000배에서의 반점 형태 입자 확인 → STD61→ 500배 이상에서의 막대 형태의 백립상이 드문드문 관찰됨 (STD11 대비 확실히 양이 적음)→ SKH51
    리포트 | 11페이지 | 2,000원 | 등록일 2022.11.25
  • 정규 분포를 이용한 불량률 추정 I
    normal_distribution(x, mean, std):return norm.pdf(x, loc=mean, scale=std)# 데이터 생성x_values = np.arange ... import normfrom scipy.optimize import curve_fit# 주어진 불량율과 압력 범위pressure_ranges = [(0.1, 0.11), (0.11 ... 주어진 데이터에 따르면, 압력이 0.1부터 0.11일 때 불량율 평균은 1%입니다.
    리포트 | 4페이지 | 2,500원 | 등록일 2023.07.30 | 수정일 2023.07.31
  • [건대 레포트 최다판매] Perfect.C.건국대학교.컴퓨터프로그래밍1.9장.배열
    마찬가지로 td[1], &td[1][0]은 동일한 결과 값을 출력한다.실습예제 9-11 pointerarray.c#include#define SIZE 3int main(){int * ... = { { 1, 2, 3 }, {7, 8, 9 }, { 4, 5, 6 }, { 10, 11, 12} };printf("%d %d %d\n", sizeof(x), sizeof(x[0 ... 정수형 포f(td), sizeof(td[0]), sizeof(td[1])); 을 통해 배열 td의 크기, td의 1열과 2열의 크기를 출력하였다.
    리포트 | 17페이지 | 1,500원 | 등록일 2020.12.23 | 수정일 2023.05.23
  • 진동(2020 최신)
    =21.741 Rad/sWd=w _{n} * root {2} of {1- xi ^{2}}, Wn=21.767rad/sTd = 289ms3번ln( {theta _{0}} over { ... =22.046 Rad/sWd=w _{n} * root {2} of {1- xi ^{2}}, Wn=22.04 rad/sTd = 285ms8번ln( {theta _{0}} over { ... =22.202 Rad/s 0Wd=w _{n} * root {2} of {1- xi ^{2}}, Wn=22.203 rad/sTd = 283ms9번ln( {theta _{0}} over
    리포트 | 15페이지 | 1,500원 | 등록일 2020.10.09
  • [건국대학교 컴퓨터프로그래밍2 A+][2024 Ver] 과제5
    초기화한다.std::cout과 std::endl을 이용하여 c값, 5.5, -, n값, ,”hello”문자열, 1(true), “n + 5 = “문자열, n값에 5를 더한 값, ‘ ... 저장된값, mydata변수의 n에 저장된값, mydata변수의 c에 저장된값, mydata변수의 arr[1]에 저장된값을 차례로 출력한다.4번 (예제 2 - 1)①코드② 결과③ 설명std ... 배열 name 선언. cin으로 입력값을 name에 대입cout으로 “이름은” 문자열 및 name값, “입니다\n” 출력8번 (예제 2 – 5)① 코드② 결과③ 설명char형 원소 11개로
    리포트 | 12페이지 | 2,000원 | 등록일 2024.08.14
  • VHDL_5_Digital Watch, 1초 생성기, 60진24진 카운터, MUX, FND decoder
    이 모든 것을 FND로 출력되게 하면 완성된다.2.소스코드 설명1~2)std_logic과 std_logic_vector를 쓰기위한 패키지 선언.4~18)리셋을 위한 nRst와 클럭 ... 최대 2까지 증가.실습제목: MUX 2x11. 주제 배경 이론MUX는 신호 선택기다. ... , std_logic_vector 자료형과 + 연산을 위한 패키지 선언.5~9)비동기 신호인 nRst와 clk 선언.
    리포트 | 22페이지 | 2,000원 | 등록일 2021.09.23 | 수정일 2022.04.04
  • 방통대 보건정보데이터분석 A+
    n.event survival std.err lower 95% CI upper 95% CI348 15 1 0.933 0.0644 0.61264 0.990564 13 1 0.862 ... 0.0911 0.54970 0.964688 12 1 0.790 0.1081 0.47913 0.927713 11 1 0.718 0.1198 0.41106 0.884769 10 1 0.646 ... (pos = 8):status, time> fit1=survfit(Surv(time,status)~1,conf.type="log-log",data=간암수술환자재발);summary(
    방송통신대 | 6페이지 | 3,000원 | 등록일 2024.01.25 | 수정일 2024.02.19
  • FPGA [ 연산자 & 순차처리문 & 병행처리문]
    . 4bit unsinged adding을 위해서 use ieee.std_logic_unsigned.all을 3번 째 줄에 사용하였다. ... 실습 [a + b = y_out]adder2016265060의 코드는 덧셈 연산자를 이용하여 만든 코드이다. 4bit unsinged adding을 위해서 use ieee.std_logic_unsigned.all을 ... a) + 00011(b) = 01011(=y_out) 이렇게 계산되었고 캐리가 발생한 경우 01011(a) + 01011(b) = 10110(y_out)가 계산되었고, 01011(11
    리포트 | 12페이지 | 1,500원 | 등록일 2020.10.22 | 수정일 2021.04.15
  • 운전이론 실제 기출문제 및 핵심문제 총망라
    어느 고속열차가 100m/s의 속력으로 주행 중 제동을 체결하였다. 공주거리가 120m이면 공주시간은 몇 초인가? ... 2(단, F : 마찰력, Td : 동륜주 견인력, R : 열차저항) ① R 〉F 〉Td ②F 〉Td 〉R ③ F 〉R 〉Td ④ R 〉Td 〉F 8. ... 3① 구심력과 원심력은 방향이 반대이고 크기는 같다. ② 구심력은 질량에 비례하고 반경에 반비례한다. ③ 구심력의 크기는 회전반경에 비례한다.④ 구심력은 속도제곱에 비례한다. 11
    시험자료 | 80페이지 | 20,000원 | 등록일 2020.06.14 | 수정일 2020.09.23
  • [A+ 만점 레포트] 분석화학실험 5. Determination of sodium carbonate and sodium hydrogen carbonate in a sample (Determination of alkalinity)
    11)Hydrolysis: 5) CO32-+ H2O ? HCO3-+ OH? (Kb2=2.13×10? ... )평균: (10.1 mL+10.1 -1.96 MMean(±std)(-1.96 M-1.96 M)/2=-1.96 M,sqrt {{(1.96-1.96) ^{2} +(1.96-1.96) ^ ... =-1.96 M+2[CO32-]=0.146 M2[CO32-]=2.106 M,[CO32-]=1.05 MMean(±std)(1.05 M+1.05 M)/2=1.05 Msqrt {{(1.05
    리포트 | 7페이지 | 2,500원 | 등록일 2024.07.10
AI 챗봇
2024년 08월 29일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
11:43 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대