• 유니스터디 이벤트
  • 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(29)
  • 리포트(27)
  • 자기소개서(1)
  • 이력서(1)

"traffic verilog" 검색결과 1-20 / 29건

  • 신호등
    1. 설 계 내 용2.소 스 분 석 3. Block Diagram4. Trade Off5. 결 과
    리포트 | 2,000원 | 등록일 2015.11.26 | 수정일 2015.12.04
  • FPGA 디지털 시스템 설계 : 신호등 제어기 설계
    Moore state machine을 이용한 traffic light controller 설계도시를 연결하는 큰 길과 교차하는 농로에 신호등을 설치할 때, 가능한 한 큰 길의 신호가 ... Verilog code//trafficlight.vmodule trafficlight(clk, rst, x, hwy, fwy);input clk, rst, x;output [2:0]
    리포트 | 2페이지 | 1,000원 | 등록일 2012.06.18
  • 디지털 시스템실험, Verilog 코딩, Sequential Circuit (신호등) 구현, FPGA보드에서 신호등 사진 결과
    Up/down counter의 Verilog code이다2. 조교님이 올려주신 testbench code이다3. FPGA보드에 연결하여 Upcount부터 실행하여 보았다. ... 다음은 앞에서 coding한 카운터를 응용하여 Traffic light coding을 해보았다.Green->Yellow->Red 각각 5초 3초 2초정도 term을 두고 변화하게 code를
    리포트 | 3페이지 | 2,000원 | 등록일 2015.12.05 | 수정일 2018.05.16
  • 디지털시스템 실험(동기식 up/down counter)+응용한 신호등 설계
    Up/down counter의 Verilog code이다2. 조교님이 올려주신 testbench code이다3. FPGA보드에 연결하여 Upcount부터 실행하여 보았다. ... 다음은 앞에서 coding한 카운터를 응용하여 Traffic light coding을 해보았다.Green->Yellow->Red 각각 5초 3초 2초정도 term을 두고 변화하게 code를
    리포트 | 3페이지 | 1,000원 | 등록일 2014.11.03 | 수정일 2016.11.10
  • [프로세서 설계] 신호등 설계 verilog HDL 을 이용하 설계
    Processor design - Design through Verilog HDL (traffic control system )- 과목 : 프로세서 설계 담당교 수 : 이용환 교수님 ... 학과 : 전자공학 조원 고영훈 - 32051948 박동구 - 32052024 권오건 - 3204201400 목 차 소개 traffic control system design 2 Specification ... Verilog Code ⅱ . Simulation result analysis ⅲ . ⅳ. ⅰ . 보완사항 ⅱ.
    리포트 | 33페이지 | 3,000원 | 등록일 2009.12.22
  • FPGA를 이용한 디지털 시스템 설계(인하대) Traffic Light Controller 신호등 제어기 보고서
    소스코드> - traffic light controllermodule traffic_light_controller(clk, rst, x, hwy, fwy);output [1:0] ... 실험목표이번 실험의 목표는 유한상태머신(Finite State Machine)을 이용하여 Traffic Light Controller를 설계한 후 시뮬레이션 하는 것이었다.2. ... 숫자 데이터를 출력하고 나중에 출력을 문자 타입으로 지정하는 신호를 통해 원하는 색깔을 출력하도록 할 것이다.위 정보들을 토대로 신호등 제어기의 코드를 작성하면 아래와 같다.< traffic_light_controller
    리포트 | 9페이지 | 2,000원 | 등록일 2014.11.26 | 수정일 2015.09.30
  • verilog를_이용한_신호등_설계_파형_분석_및_고찰
    module traffic_light_controller(clk, rst, x, hwy_R, hwy_Y, hwy_G, fwy_R, fwy_Y, fwy_G);input clk, rst
    리포트 | 6페이지 | 1,500원 | 등록일 2011.12.18
  • VHDL을 이용한 TLC설계,개선사항,Traffic light controller설계 집적설계
    Code (Traffic Light Controller)Verilog Code (Traffic Light Controller)Verilog Code (Traffic Light Controller ... )Verilog Code (Traffic Light Controller)Verilog Code (Traffic Light Controller)goNS goWE Scenario goSN ... eLight_p [1:0] wLight_p [1:0] sLight_p [1:0] nLight_p [1:0] FND FND Decoder fndScan [1:0] fndData [7:0]Verilog
    리포트 | 22페이지 | 1,000원 | 등록일 2010.06.05
  • finite state machine design(결과)
    Delay Matrix③ Verilog Codemodule traffic_control(clk, C, HL);input clk;input C;output [5:0] HL;reg [ ... Experiment Result(1) FSM(figure 11-3)① Waveform② Delay Matrix③ Verilog Codemodule fsm_state (clk, in, ... And anytime if the key is 0, it goes to the stop state.(5) Designing traffic light controller① Waveform②
    리포트 | 14페이지 | 1,000원 | 등록일 2011.07.09
  • 연세대학교 전기전자 기초실험 09년도 A+ 레포트 결과 11
    HDL code by next.Code 5. verilog HDL for Traffic light controller with waiting timemodule traffic_light_controller ... REDFarm REDS3Highway REDFarm GREENS4Highway REDFarm YELLOWG→Y→RR→G→YCode 4. verilog HDL for Traffic ... Traffic light controller4. Designing traffic light controllerTable 11-2.
    리포트 | 14페이지 | 1,000원 | 등록일 2009.12.17
  • 연세대 전기전자 기초실험 09년도 A+ 레포트 예비 11
    ① Realize the FSM in Figure 11-3 in its Behavioral model using verilog HDL.module figure11_3(clk, in,
    리포트 | 4페이지 | 1,000원 | 등록일 2009.12.17
  • VLSI
    Verilog/VHDL ProjectSynopsys Project◈ DescriptionWe changed our design from ‘Traffic Signal Controller ... ’ to ‘Elevator’, because ‘traffic signal controller’ is somewhat trite and simple. ... ◈Verilog Code-Main Code-Test Bench Code◈Mapped Verilog[Figure1_Schemetic Circuit]◈Visual Waveforms-Mapped
    리포트 | 10페이지 | 1,000원 | 등록일 2012.02.14
  • 교통 신호등
    리포트 | 2,000원 | 등록일 2014.04.27
  • finite state machine design(예비)
    2. Theory(1) Finite State Machine FSM is a circuit that has finite states and changes states sequentially. FSM has two basic models, Mealy model and ..
    리포트 | 9페이지 | 1,000원 | 등록일 2011.07.09
  • 연세대학교 디지털논리 김재석교수님 프로젝트
    디지털 논리회로 프로젝트(smart traffic line controller)2011142270 김정기2011142092 박동현●목차A. ... Conclusions&comments and Verilog source codes1.Conclusions&comments2.Verilog source codes of Mealy style ... Conclusions&comments and Verilog source codesA.
    리포트 | 33페이지 | 3,000원 | 등록일 2012.09.17 | 수정일 2014.05.15
  • Smart TLC
    출력신호는 highway, local, pedestrian 3종류가 있으며 pedestrian의 1비트를 제외하곤 2비트의 신호로 출력된다.● Traffic light : 입력받은 ... Behavioral Verilog Source Code AnalysisⅣ. Test Plan & Test Bench Source Code AnalysisⅤ. ... Behavioral Verilog Source Code Analysis`define TRUE 1'b1`define FALSE 1'b0//delay`define Y2RDELAY 1 /
    리포트 | 11페이지 | 1,500원 | 등록일 2010.10.09
  • 김재석 디지털 논리, 신호등, staffic light controller, STLC, Flowian 프로젝트
    Smart traffic light controller(STLC)2009145017 김영필2011142177 이지민김재석 교수님Ⅰ. ... Verilog source codemodule DLpjpj2(reset, clock, C, P, HG, HY, HR, LG, LY, LR, PG, PR, st1, st0, T);input
    리포트 | 14페이지 | 2,000원 | 등록일 2012.07.23
  • 신의손) 노벨리스코리아 합격 이력서 및 자기소개서
    Power Point(very good), Excel(good), word(good), Hangul(very good)Available computer language/ tool: Verilog ... , BJT, MOSFET2012 Electronic Circuit Experiment 2- Design digital hardware using A/D Convert Board, Traffic
    자기소개서 | 8페이지 | 3,000원 | 등록일 2014.08.18
  • 종합 설계 최종 발표
    종 합 설 계 5조정보표시 보행자 신호기 information and display of traffic light지도교수님설계 동기와 목적 5조→ 적색 신호등의 무료한 신호 대기 시에 ... Verilog HDL을 이용한 신호등 제어기 설계 : → 디지털 집적회로 설계능력 습득. 4. ... Verilog HDL 언어 습득으로 인한 하드웨어 설계 능력 향상 4. 전자공학의 전공 학문의 중요성과 전자공학이 지식 산업의 기초 인식{nameOfApplication=Show}
    리포트 | 9페이지 | 2,500원 | 등록일 2009.07.20
  • 종합설계 신호등 제어 중간보고서
    정보표시 보행자 신호기 information and display of traffic light*팀원 소개 설계 동기와 목적 이론 종합 설계 방향 설계 과제 서론 H/W 블럭도 순차 ... **본 과제에서는 Verilog HDL을 이용한 삼거리 신호 체계를 설계 할 것입니다. ... HBE-COMBO KIT 이용하여 LCD 하드웨어 설계 단계를 Verilog HDL로 문자 표현 검증. 2.
    리포트 | 21페이지 | 3,000원 | 등록일 2009.07.20
AI 챗봇
2024년 09월 02일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
3:46 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대