• 통큰쿠폰이벤트-통합
  • 통합검색(3)
  • 리포트(2)
  • 자기소개서(1)

"veriloghdl 자판기" 검색결과 1-3 / 3건

  • (12년상합격)LG전자
    당시 저와 제 동기가 계획했던 프로젝트는 VerilogHDL 언어를 사용하여 DE2-70 보드에서 작동하는 ‘곱셈기능이 추가된 자판기’ 시스템을 설계하는 것이었습니다.그런데 프로젝트를 ... 본인의 성격에 관하여 (본인의 약점/강점에 대하여) [400 Byte 이상, 2000 Byte 이하][삼천포 화력발전소 ; 끈기]저의 성격의 장점은 책임감과 끈기입니다. 2004년도 ... [불면증의 시작]처음에는 기세 좋게 시작했지만, 프로젝트를 진행하면 할수록 알고리즘을 적용시키기가 쉽지 않았고 특히 처음 접해보는 VerilogHDL을 사용하게 되어 코딩에 많은 어려움이
    자기소개서 | 4페이지 | 3,000원 | 등록일 2012.10.21
  • vending machine(자판기)
    )입니다.실제 자판기와 유사하게 작동되도록 구현했습니다. ... FSM을 이용하여 설계했습니다.코드를 작성할 때, 그 어떤 인터넷 사이트나 책을 참고하지 않고 처음부터 끝까지 직접 타이핑했습니다.자판기의 특성상, 사용자의 조작에 의해 자판기가 작동되어야 ... 보고서 형식의 한글 파일과 ppt파일 그리고 프로그램 소스가 함께 포함되어있습니다.quartus를 이용하여 verilog HDL code로 작성한 vending machine(자판기
    리포트 | 13페이지 | 3,500원 | 등록일 2014.06.28 | 수정일 2014.07.03
  • 디지털논리 VerilogHDL Project 결과보고서
    1. clk카운트module clock_sec(clk, rst, real_A, enable_10sec); input clk, rst; output [16:0] real_A; output enable_10sec; reg [16:0] real_A; reg enabl..
    리포트 | 10페이지 | 3,000원 | 등록일 2010.06.29
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 19일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
11:07 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대