• 통큰쿠폰이벤트-통합
  • 통합검색(157)
  • 리포트(152)
  • 자기소개서(5)

"vhdl 신호등 s" 검색결과 1-20 / 157건

  • VHDL 신호등 설계 source파일
    4방향 보향자 신호가 있는 신호등깜박이 신호 포합
    리포트 | 3,000원 | 등록일 2008.02.23
  • 교통신호등 제어기 VHDL 설계
    그리고 이 신호들을 segment를 이용하여 신호등 두 개로 6부분으로 나누어서 각 상태에 따라 각 segment에 출력을 넣어 결과를 확인 할 수 있도록 설계를 하고 컴파일과 시뮬레이션까지 ... 수행 및 제출(4)교통신호등 제어기에는 대기 모드(standby mode), 평시 모드(정상 동작), 테스트 모드로 총 3가지의 동작 모드가 존재한다. ... ▣ 교통신호등 제어기 설계Ⅰ. 수행 및 제출(1)Ⅱ. 수행 및 제출(2)Ⅲ. 수행 및 제출(3)Ⅳ.
    리포트 | 4페이지 | 2,500원 | 등록일 2022.05.26 | 수정일 2022.12.15
  • [논리회로설계실험]VHDL을 활용한 CLOCK설계
    실습에서 이용한 Rov-Lab3000에는 다양한 기능들을 구현할 수 있도록 해두었는데, LED display, 7segment, 모터, RF신호, line tracer등을 만들 수 ... 이를 이용하여, 특정 신호를 받았을 때, 원하는 방향이나, 속도를 제어할 수 있는 자동차나, 공장에서 쓰는 제품 생산량등을 나타내는 디스플레이를 제작해 볼 수 있다.그림 3. ... (우리 실험에서는 가장 왼쪽의 비트가 a를 나타내도록 하였다, 내부신호를 통해 설정한다.)그림2. 7segment display2-4) Process(rst_n, clk)-현실의 1초를
    리포트 | 12페이지 | 2,000원 | 등록일 2021.06.26
  • VHDL_4_counter, sequence detector, 4way traffic light counter, Soda vending machine
    시뮬레이션 결과 및 설명I) 20ns 이하, clock =지는 시간이 다르기 때문에 별도의 상태로 구분.19~25)신호등 별 유지시간을 보기 편하게 상수로 선언.27~28)내부 동작에 ... 추가로 세그먼트 출력을 위한 포트로 seg_선언.18~20)각 상태를 배열로 선언, 이것을 신호의 자료형으로 사용.21)현재 금액을 BCD로 바꾸기 위해 임시로 저장할 용도로 신호 ... 동작을 간단하게 모델링 한 것으로 vhdl 구현 시에 behavioral 방식으로 그대로 작성이 가능하다.Sequence detector는 과거의 입력결과를 기억해서 특정 시퀀스가
    리포트 | 34페이지 | 2,000원 | 등록일 2021.09.23 | 수정일 2022.04.04
  • 서강대학교 디지털논리회로실험 - 실험 3. Decoders and Encoders 결과 보고서
    [그림10]과 같이 FND_DATA 신호들 사이에 330Ω의 저항을 연결해야 7-segment가 안정 적으로 동작한다는 것과 74LS47의 출력 핀이 시계 방향 순서대로 7-segment의 ... 퀴즈 2번의 결과를 VHDL로 설계하고 FPGA로 구현하여 동작 확인VHDL 코드 및 FPGA를 통한 구현 결과는 아래와 같다. ... [그림 4]I _{ 1I _{ 0=00I _{ 1I _{ 0=01I _{ 1I _{ 0=10I _{ 1I _{ 0=11[그림 5][그림 5]의 LED 점등 결과와 [표 1]의 진리표가
    리포트 | 10페이지 | 1,000원 | 등록일 2020.04.20 | 수정일 2020.04.24
  • 서강대학교 21년도 디지털논리회로실험 3주차 결과레포트 (A+자료) - Decoder, 7-Segment Display
    간단한 숫자 또는 문자를 표현할 수 있는 display 소자이다. dp라는 LED도 있어서 부호의 표현 등도 가능하다.common cathode 7-segment displaycommon ... display가 출력되는 모습을 확인할 수 있었다.VHDL을 이용한 구현 (optional)STEP 19:그림 34 – 7-segment display의 진리표위의 code를 ISE의 ... 또한 디코더는 enable 신호가 존재해, enable이 active일 때 code 변환을 실행하게 된다.
    리포트 | 34페이지 | 2,000원 | 등록일 2022.09.18
  • HDL및실습_State Machine상태머신 이해하기_횡단보도제어기_BCD_GRAY_10진_16진 카운터 설계하기
    제어기의 VHDL 소스총 4가지의 state를 정의하였다. ... 제어기에서 ADC로 Start-convert 신호 전송3. ADC는 샘플링 시작 ... 주어진 상태 천이표와 상태 다이아그램 등을 이용하여 적절한 상태머신을 설계한다.상태머신의 특징1.
    리포트 | 13페이지 | 3,000원 | 등록일 2020.07.05
  • 9장 VHDL 설계 툴 사용법 예비
    , 주기 등을 설정한다.(4) 그림에 표시된 Behavioral check syntax를 오른쪽 클릭하여 run시킨다. ... 아날로그 신호(mixed-signal)는 VHDL-AMS(VHDL Analog and Mixed-Signal Extensions)로 표현하나, 실제 활용면에서 디지털회로에 많이 적용되어 ... Xilinx VHDL 프로그램에 대하여 조사하고 설명하라.☞ VHDL(VHSIC Hardware Description Language)은 디지털 회로 및 혼합 신호(mixed-signal
    리포트 | 16페이지 | 1,000원 | 등록일 2021.01.06
  • Mux&Decoder2차레포트 디지털회로설계
    DE2작동 사진을 찍어놓고 백업을 안하여 사진이 없다.(3) 1비트 1x2 Demux Schematic , VHDL-Schmatic-VHDL시뮬레이션 결과A는 일정한 신호를 계속 넣고 ... 나타낼 수 있다.비슷한 역할을 하는 점 행렬에 비해 단순하기 때문에 전자 회로으 내부적인 수치를 보여주는데 자주 사용된다. 7세그먼트는 각 획에는 LED가 내장되어 있어 LED의 점등으로 ... 통해 다양한 출력을 뽑을 수 있게 하는 것 이다Decoder디코더는 활성화될 때 입력신호에 따라 여러 출력선 중 하나를 선택하게끔 만드는 장치이다.FND(Flexible Numeric
    리포트 | 15페이지 | 2,000원 | 등록일 2022.01.05
  • 10장 VHDL 설명 및 문법 예비
    프로세스문에서 지정어인 process, begin, end 등과 감지신호를 없앤 간결한 표현으로서 하나의 프로세스문을 수행하는 것과 같은 결과를 가진다. ... is array(Natural range) of Three_level_logic;use work.specials.all;......end declaration_sample;- 문장① ... 상수 그리고 자료형 등을 선언한다.?
    리포트 | 9페이지 | 1,000원 | 등록일 2021.01.06
  • 디지털 시계 실습 vhdl 레포트 (12,60진 카운터)
    입력 신호가 N개라고 할 때 log2N 개의 선택 신호 수가 필요하다.왼쪽 그림의 I0,I1은 입력이므로 선택신호S한개 임을 알 수 있다. ... 다른 표현숫자 들도 FND_DATA의 0이 led의 점등임을 고려하면 해당 숫자가 나옴을 알 수 있다.Vhdl를 이용한 코드.출력 결과1초 생성기이론적 배경디지털 시계를 만들기 위해 ... , min_mux, hr_mux를 통해 각 카운터 cnt_sec, cnt_min, cnt_hr의 clk 신호로 들어가게 된다.
    리포트 | 19페이지 | 2,000원 | 등록일 2020.10.05
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab03(예비) / 2021년도(대면) / A+
    그 예로, edge – sensitive(플립플롭 등)와 level-sensitive(래치 등)의 저장소자들을 모델링할 수 있다. ... Prelab(1) Veri순하기 때문에 시뮬레이터가 고속이다.⑤ 검증 정확도가 높으며(설계 도중에 검증이 가능하며 입력신호의 부가, 출력의 관측과 비교가 쉽다. ... VHDL- 장점: ① VHDL은 매우 넓은 범위의 Design을 가능하게 해 준다.
    리포트 | 12페이지 | 2,000원 | 등록일 2022.07.16
  • 논리회로실험(VHDL 및 FPGA실습) 이론 및 실험결과 레포트
    -0 두 개의 0이 존재하거나사칙연산의 결과가 정확하지 않은 등 4bit full adder & subtracter에 사용하기에는적합하지 않은 표현방식이므로 2s complement를 ... Behavioral of test1 is -- test1의 동작을 선언해줄 architecturesignal d : std_logic; -- 내부신호 d를 std_logic으로 선언begind ... PurposeXilinx프로그램과 VHDL code를 이용해 기초적인 조합논리회로와 4 bit full adder & subtracter를 설계해 본다.
    리포트 | 53페이지 | 8,000원 | 등록일 2022.01.25 | 수정일 2022.02.08
  • 부경대 전자공학과 디지털시스템설계 기말2(교통 신호등)
    [수행 및 제출(2)][수행 및 제출(1)]에서 작성한 상태도에 따라 교통신호등 제어기를 VHDL로 설계하시오.library ieee;use ieee.std_logic_1164.all ... , segG1 : out std_logic_vector(6 downto 0);segR2, segY2, segG2 : out std_logic_vector(6 downto 0));end ... ;use ieee.std_logic_arith.all;entity traffic_sign isport( clk,standby,test : in std_logic; segR1, segY1
    리포트 | 6페이지 | 4,000원 | 등록일 2022.02.05 | 수정일 2023.12.07
  • VHDL 신호등 구현
    VHDL 신호등 구현목차개요 및 설계코드 구현결과 사진힘들었던 점개요 및 설계-목표 : FSM을 사용하여 신호등을 구현해야 한다. ... -조건신호등 1,3 과 2,4는 4초마다 녹색이 들어온다.횡단보도는 스위치가 눌려있지 않으면 적색(stop)이 들어온다.신호등 2,4가 녹색이고 스위치가 눌려있다면, 다음 신호등 1,3때 ... 각 신호등 Light 4개,4. stop과 go를 표현할 seg 4개5. reset 스위치아키텍쳐 앞부분에 FSM을 이용하기 위한 state 3개를 만들어 놓고, input1이라는
    리포트 | 7페이지 | 2,000원 | 등록일 2021.11.10
  • 순차논리회로설계 결과레포트
    조합논리회로 : 외부 입력과 상태 정보 등 두 입력 신호와 다음 상태 정보와외부 출력 신호 등 두 출력 신호가 있음? ... 클럭에 영향을 받지 않는다.- 비동기 클리어, 프리셋 레지스터 : 클리어, 프리셋 신호가 클럭에 영향을 받지않는다.- Verilog, VHDL의 인퍼런스(Inference; 추론, ... 전자공학실험3 Chap4 순차논리회로 설계[Section 01]간단한 상태도의 구현[학습목표]· 순차논리 회로를 설계하기 위해 FSM도(상태도)를 작성하고, Verilog, VHDL
    리포트 | 10페이지 | 3,000원 | 등록일 2021.06.10 | 수정일 2022.04.18
  • 논리회로설계 실험 기본게이트 설계
    기술을 위해서 VHDL의 순차문이 Process문이 사용된다.2) 자료 흐름 모델링자료흐름 모델링은 자료의 흐름, 즉 , 신호 및 제어의 흐름을 나타낸다. ... 논리회로설계 실험 예비보고서 #1실험 1. 기본게이트 설계1. 실험 목표CPLD와 FPGA에 대해 알아보고 그의 활용을 알아본다. ... CPLD 구조는 LAB의 연결 상태와 무관하게 신호 지연이 일정하기 때문에 타이밍 시뮬레이션이 필요 없다.
    리포트 | 6페이지 | 1,500원 | 등록일 2021.10.01
  • (완전 세세한 정리, 끝판왕) 시립대 전전설2 예비레포트 3주차 Lab03 Introduction to Verilog HDL
    두개의 차이점은 Verilog는 전자 시스템을 모델링하는 데 사용되는 HDL이며 VHDL은 현장 설계 가능 게이트 어레이 및 집적 회로와 같은 디지털 및 혼합 신호 시스템을 설명하기 ... s1, c_in); / and (c2, s1, c_in); / or (c_out, c2, c1);(3) behavioral modeling시뮬레이션을 위해 always, initial등의 ... 문을 사용하여 실행 조건을 갖춰 놓은 모델링 기법이다.Always 구문- 시뮬레이션이 실행되는 동안 반복적으로 실행.Always @(*) 함축적 감지신호 표현으로 모든 입력신호
    리포트 | 17페이지 | 2,000원 | 등록일 2020.07.27 | 수정일 2020.09.24
  • KB국민카드 IT직 자기소개서
    이러한 장점을 살려 S전자서비스에서 현장실습으로 에어컨기술상담원을 한 경험이 있습니다. ... 부분을 담당하였습니다. 10개월 간 자동차를 만드는 것부터 도로, 신호등 만드는 것까지 쉬운 게 하나도 없었지만, 많은 것을 배우는 계기가 되었습니다. ... 자동차는 정해진 도로를 주행하면서 표지판이나 신호등, 장애물을 인식하고 여러 가지 센서를 제어하면서 배터리 잔량도 체크하여 에너지를 생각하는 지능형 자동차를 만들게 되었습니다.제가
    자기소개서 | 3페이지 | 8,000원 | 등록일 2019.12.06
  • Full adder VHDL 실습보고서(전가산기)
    또한, 입력을 하나의 신호라고 해석하면, 신호의 덧셈, 뺄셈등이 가능한 것이기 때문에, 두 신호의 합, 차를 이용하여, 새로운 신호를 만들어 낸다거나, 혹은 원래신호에서 필요없는 부분을 ... VHDL에서 만들어 두었던 것을 토대로 Test bench가 구성됩니다.그림 7. 4bit adder/subtractor testbench 코드2입력부분입니다. begin부터 시작하여 ... 1.목적(Purpose)이번실습에서는 4 bit Full adder(4비트 전가산기)와 Subtractor(감산기)를 직접 VHDL코딩을 통해 구현하는 실습이다.
    리포트 | 11페이지 | 2,000원 | 등록일 2020.12.20 | 수정일 2020.12.27
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 19일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
11:09 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대