• 통큰쿠폰이벤트-통합
  • 통합검색(11)
  • 리포트(8)
  • 자기소개서(3)

"vhdl uart" 검색결과 1-11 / 11건

  • VHDL을 이용한 UART설계
    UART란?? ... UART란?? ... Block Diagram Transmitter / Receiver / Baud Rate generator Simulation_top Reference VHDL coding (Top
    리포트 | 24페이지 | 3,000원 | 등록일 2007.12.23
  • SoC 보고서 - 2.비동기통신(UART)
    소스코드 및 코드 설명 -------------------------- p.10A. uart_txB. uart_rxC. tb_uart3. ... 실습보드 적용 결과 ----------------------------- p.32A. uart_txB. uart_rxC. uart_echo back5. ... 시뮬레이션 결과 및 설명 ------------------------ p.25A. tb_uart 시뮬레이션B. uart_tx 시뮬레이션C. uart_rx 시뮬레이션D. data_latch
    리포트 | 40페이지 | 2,500원 | 등록일 2021.09.23
  • SoC 보고서 - 4.8051
    하이퍼터미널은 UART 통신 방식을 이용하므로 UART에 해당하는 부분을 세팅.타이머 및 인터럽트는 사용하지 않음.코드 2-A-2M8051wrap 포트8051에 사용되는 포트에 관한 ... ROM에는 이미 작성된 hex파일을 넣어서 동작하도록 한다.8051 VHDL코드는 그림1-D-3에서 볼 수 있듯이 다수의 VHDL코드를 연동해서 작성한 것으로 상위 계층과 하위계층으로 ... 라이브러리 및 엔티티쿼터스 툴로 설계한 RAM의 엔티티임.주소, 데이터, 클록, writ/read 신호를 입력 받고, q값을 출력으로 가짐.코드 2-B-2RAM 신호 및 컴포넌트RAM의VHDL
    리포트 | 30페이지 | 2,500원 | 등록일 2021.09.23
  • FPGA를 이용한 UART 구현
    UART 데이터 수신방식 PAGEREF _Toc300923159 \h 14 HYPERLINK \l "_Toc300923160" 그림 5. ... FPGA의 UART 구성도 PAGEREF _Toc300923157 \h 13 HYPERLINK \l "_Toc300923158" 그림 3. ... FPGA_UART_MODULE PAGEREF _Toc300923160 \h 15 HYPERLINK \l "_Toc300923161" 그림 6.
    리포트 | 16페이지 | 3,000원 | 등록일 2012.03.14 | 수정일 2017.02.24
  • LG하우시스 경력 PPT 자료
    Device SiliconLab (C8051), ATMEGA64 NORDIC(nrf24e1), TI(MSP430) Function Wireless Modem Control (Full-UART ... 개요 - OLED/LCD CELL 이나 완제품의 불량 유무를 판별하기 위한 화면 검사기 담당업무 - FPGA 설계 : 영상 인터페이스 입출력 설계 , Frame Buffer 등의 VHDL ... DisplayPort , VbyOne Audio II2 Interface (using UDA1341 Codec) AMP LM4863 1W Speaker Tool OrCAD 3/5보유 기술 VHDL
    자기소개서 | 5페이지 | 3,000원 | 등록일 2018.12.19 | 수정일 2019.01.23
  • Capstone Design
    LAY-OUT 화면그림 4 PCB기판으로 회로 제작[VHDL 소스]top.vhdtext_lcd.vhdps2.vhdrs232.vhd1. ... 완료과제의 결과물(1) 결과물(주) 설계도(회로도), 시작품 등 결과물을 표시하고 전시 가능한 결과물의 형태가 무엇인지 설 명, 사진첨부 (VHDL 소스)설계도(회로도)시제품만능보드에 ... 구입⑥ UART 설계 및 제작(주) 한백전자를 총 3차례 방문하여 자문을 구하고 UART 키트를 제작하였으며, 산학협력 을 맺음(주) 참여업체와 과제를 도출하기 위하여 업체 방문,
    리포트 | 23페이지 | 8,000원 | 등록일 2007.01.09
  • UART-직렬 통신 _Verilog
    송신부 Code`timescale 1 ns / 1 psmodule Uart_trans (TxD, Txrdy, wr, din, clk1);input wr,clk1;reg Tsign,
    리포트 | 8페이지 | 1,500원 | 등록일 2011.05.23
  • 캐논코리아비지니스솔루션_SW연구개발직 합격 자기소개서
    그리고 디지털 회로에 대한 이해와 VHDL을 활용하여 'UART 통신을 통한 디지털 도어락'이라는 프로젝트도 진행하였습니다.
    자기소개서 | 4페이지 | 3,000원 | 등록일 2012.11.01
  • LG전자 MC SW 합격 자소서입니다.
    디지털 회로에 대한 이해와 VHDL언어 습득- 이를 이용하여 Altera FPGA+ARM926 보드 상에서 'UART 통신을 통한 디지털 도어락'이라는 프로젝트도 진행하였습니다.3.
    자기소개서 | 6페이지 | 3,000원 | 등록일 2012.11.01
  • [OFDM]FPGA를 이용한 OFDM 모뎀 구현
    학습Xilinx ML310 Development board 학습Ethernet MAC 합성 및 ImplementationOFDM 이론 학습OFDM 알고리즘 MATLAB 시뮬레이션VHDL ... 구현2.4.1 FPGA UART 구현2.4.1.1 UART 송신부2.4.1.2 UART 수신부2.4.2 PC 파트 OFDM Client 구현2.4.2.1 MFC 소개2.4.2.2 ... 부록 - 소스코드7.1 OFDM MODEM7.1.1 UART BaudGen7.1.2 UART 송신부7.1.3 UART 수신부7.1.4 QPSK Mapper7.1.5 QPSK Demapper7.1.6
    리포트 | 81페이지 | 10,000원 | 등록일 2005.12.18
  • [디지털] VHDL 강좌12
    설계 계층 구조(Design hierarchy)에 대해서 알아봅시다.구 시스템 레벨(컴퓨터, 디스크 장치, 버스 인터페이스 등) 추체 칩 레벨(마이크로 프로세서, RAM, ROM, UART ... VHDL simulator가 등장한 시점에서 VHDL 관련 CAD 회사간에 VHDL의 표준화를 위해 1987년 IEEE에서 IEEE-1076이라는 표준을 만들어 공인하게 되었다. ... 후반에는 VHDL이 simulation에 의한 검정용 언어로 사용해야 한다는 여론에 의해 몇몇 VHDL simulator가 등장하게 되었다.
    리포트 | 6페이지 | 1,000원 | 등록일 2001.11.11
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
6:16 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대