• 통큰쿠폰이벤트-통합
  • 통합검색(22)
  • 리포트(21)
  • 자기소개서(1)

"xilinx 디지털 시계" 검색결과 1-20 / 22건

  • Xilinx verilog 디지털 시계
    임베디드시스템하드웨어(Final Project # 디지털시계(스탑워치추가))목 차1. Hardware Design Spec.(동작 원리 및 표현 방식 등)12. ... Hardware Implementation (Xilinx Tool 사용)165. ... bar3에 불이 들어와있다.3) 시간증가모드아래 그림은 sw 1, 4가 on인 상태이며1초마다 초와 시간이 가치 증가하는 모습이며 led는 시are Implementation (Xilinx
    리포트 | 39페이지 | 5,000원 | 등록일 2009.12.23
  • xilinx와 vhdl을 이용한 7-segment 디지털시계구현(소스포함)
    시계의 구성가.XILINX를 이용한 7-SEGMENT시계의 개념도나.VHDL을 통한 소스 분석3.결론※ 7-SEGMENT를 이용한 시계구현 목적XILINX칩과 7-SEGMENT 모두 ... 디지털로 작동하며 같은 5V로 동작하기 때문에 실질적 모델로 구현하기 쉽고, 디지털 회로의 가장 기본인 카운터를 이해하는데 시계가 가장 적합하다.1. 7-SEGMENT의 이해가. ... XILINX를 이용한 7-SEGMENT 시계의 개념도SystemClock시 간10의 자리DIGIT6Select 6SystemClock분초1의 자리10의 자리1의 자리10의 자리1의
    리포트 | 14페이지 | 2,000원 | 등록일 2007.12.28
  • 서울시립대학교 전전설2 2주차 예비레포트(코딩 성공적, A+, 10점 만점 11점)
    같이 기능을 하는데, 디지털 회로에서 클럭 신호에 맞추어 신호 처리를 하는 동기 저리를 위해 사용한다. ... 추가하였다. 4-input LUTHBE Combo-II SE의 입출력 장치의 종류와 특성 HYPERLINK \l "주석8"[8] HBE Combo-II의 사양- Clock말 그대로 시계와 ... Purpose of this LabLab-03에서 Verilog HDL 언어를 이용하여 디지털 회로를 디자인하기에 앞서 Schematic 설계를 수행 해 보는 것이다.나.
    리포트 | 15페이지 | 2,000원 | 등록일 2020.07.22 | 수정일 2020.10.24
  • 전자전기컴퓨터설계실험2(전전설2) (10) Final Project
    [표 1] 디지털 시계에서 구현할 기능2. 실험 이론2.1. ... 이 디지털 시계는 [표 1]의 필수 동작과 선택 동작을 구현해야 하며, 실제 장치에 연결하여 소스코드의 내용과 디지털 시계의 동작 일치 여부를 확인한다.1)Text LCD를 사용2) ... 실험 목적본 보고서에서는 베릴로그 HDL과 FPGA를 사용하여 디지털 시계를 설계한다.
    리포트 | 110페이지 | 10,000원 | 등록일 2019.10.13 | 수정일 2021.04.29
  • 서강대학교 디지털논리회로실험 - 실험 2. Digital Logic Gates 예비 보고서
    디지털논리회로실험예비 보고서[2주차]실험 2. Digital Logic Gates1. ... [그림 4]와 같 이 반달모양의 notch가 왼편으로 향하도록 내려다볼 때 좌측 하단의 핀 번호가 1번이 며 반시계 방향으로 연속하여 핀 번호가 부여된다. ... 실험 회로의 해석 및 예상 결과1) 과정 1-A[그림 6]의 회로에 대한 Xilinx ISE 시뮬레이션 결과는 [그림 7]과 같다.
    리포트 | 7페이지 | 1,000원 | 등록일 2020.04.20 | 수정일 2020.04.24
  • (완전 세세한 정리, 끝판왕) 시립대 전전설2 final project Digital Clock 기능7개 전자전기컴퓨터설계실험2
    DIGITAL CLOCK CODE 설명Digital clock의 기능구현을 설명하기 위해 크게 1. 시계작동 2. 알람 3. 타이머 4. 스톱워치 5. ... 시계 기능들전자전기컴퓨터설계실험2 마지막 실험은 COMBO BOX에 DIGITAL CLOCK을 구현하는 것이다. ... DIGITAL CLOCK CODE 설명 --------------------------------------------------(5)① 시계작동 -------------------
    리포트 | 52페이지 | 6,000원 | 등록일 2020.07.28 | 수정일 2020.09.24
  • 서울시립대학교 전전설2 2주차 결과레포트(코딩 성공적, A+, 10점 만점 11점)
    같이 기능을 하는데, 디지털 회로에서 클럭 신호에 맞추어 신호 처리를 하는 동기 저리를 위해 사용한다. ... 추가하였다. 4-input LUTHBE Combo-II SE의 입출력 장치의 종류와 특성 HYPERLINK \l "주석8"[8] HBE Combo-II의 사양- Clock말 그대로 시계와 ... Summarize experiment contents & purpose of this Lab이번 실험은 lab01에서 breadboard위에 다양한 소자를 배치하며 수행했던 과제를 Xilinx
    리포트 | 27페이지 | 2,000원 | 등록일 2020.07.22 | 수정일 2020.09.16
  • Xilinx-ISE 응용 레포트 (7-segment)
    디지털논리회로Xilinx-ISE 레포트주제 : Seven-segment분반: 2분반 화수목8Report주제로 7-segment를 선택한 이유는 저번에 multisim을 이용하여 7- ... 몇몇 숫자(0, 6, 7, 9)는 둘 이상의 다른 방법으로 표시가 가능하다.7세그먼트 표시 장치의 각 획은 맨 위쪽 가로 획부터 시계 방향으로, 그리고 마지막 가운데 가로 획까지 각각 ... 그리고 디지털 논리회로 실험 과목도 7-segment를 응용하는 실험이 있었기 때문에 더더욱 주제로 하고자 하였다.이론Seven-segment display는 표시 장치의 일종으로,
    리포트 | 9페이지 | 1,000원 | 등록일 2017.06.28 | 수정일 2018.04.21
  • 전전컴설계실험2-Final Report
    이제까지의 설계실험시간을 통해서 Xilinx tool의 code 설계과정을 충분하게 숙지했다고 생각하였지만 막상 직접 Digital Clock을 설계하고자 하니 이해가 안가고 막혀 ... 이제까지 실험하였던 TEXT LCD 실험을 바탕으로 Digital Clock이 가지는 기능을 잘 이해하고, 명령어와 data입력을 확장시켜 시계를 구현 해 본다. ... FSM는 디지털 논리에서 회로를 꾸미고자 할 때 중요하게 사용되는 방법중의 하나입니다.주로 마이크로 프로세서 뿐만 아니라 디지털로 꾸밀 수 있는 모든 회로들의 주요 CONTROLER회로를
    리포트 | 24페이지 | 1,500원 | 등록일 2014.03.28 | 수정일 2014.04.15
  • 전전컴설계실험2-Final Project PPT
    roject Report P 기타사항 프로젝트 목적 프로젝트 진행일정 기능 - 기본기능 - 부가기능 기타사항 7 /8 사용장비 사용 Tool 참고자료 HBE-COMBEOII-SE Xilinx ... 전자전기 컴 퓨터 설계실험 2 Experiments in Electrical Computer Engineering 2 Design Project -Digital Clock- 담당교수 ... Watch 및 추가 기능 구현 3 /8V 프로젝트 진행일정 roject Report P 1 주차 ( 기본 시계 구현 – 시 / 분 / 초 구현 및 동작 검증 ) 2 주차 ( 기본
    리포트 | 8페이지 | 1,000원 | 등록일 2014.03.28 | 수정일 2014.04.15
  • Xilinx VHDL을 활용한 슬롯머신 설계
    1clockS : inout STD_LOGIC_vector(0 to 3); -- score1의 자리S1 : inout STD_LOGIC_vector(0 to 3); -- score10의 자리digit
    리포트 | 25페이지 | 3,000원 | 등록일 2013.06.01 | 수정일 2019.01.02
  • 삼성탈레스 자소서
    소프트웨어를 설치하여 LCD에 디지털 시계를 출력한 프로젝트입니다. ... 이를 통해 시스템의 전원이 나갔을 때도 정보를 저장할 수 있도록 하였습니다.프로젝트경험3 :Xilinx ISE 기반의 VHDL을 활용하여 Spartan-3E Board에 프로그래밍한 ... 일반 시계의 시간, 분, 초를 출력하도록 설계하였고, 기능 버튼을 이용하여 시간 수정, 설정, 초시계 이용 등의 기능을 할 수 있도록 구현하였습니다.자기소개 및 본인 장/단점을 기재바랍니다
    자기소개서 | 2페이지 | 3,000원 | 등록일 2011.04.04
  • VHDL 디지털 시계
    디지털 시계는 stopwatch의 입력 신호인 1/100 sec 신호를 1sec 신호로 입력시키고 60진수 계수기로 변화시키면 기본적으로 동작되는 디지털시계를 만들 수 있다. ... EDA_Lab3000 실습 키트를 가지고 디지털시계의 동작을 확인하도록 한다. ... 1)디지털시계●목적· MODE SWITCH의 동작에 대하여 공부한다.· Debounce 회로의 동작에 대하여 공부한다.· 시계 조정 회로의 동작에 대하여 공부한다.· Timebase
    리포트 | 21페이지 | 2,000원 | 등록일 2015.10.16
  • vhdl을 이용한 FPGA 킷에서의 디지털 시계 구현
    PurposeXilinx 소프트웨어를 이용하여 디지털 시계의 소스코드를 작성한 후, FPGA Kit에 적용하여 실제로 시간이 표시되는지 여부를 확인한다.2. ... Problem Statement① Describe what is the problem.주어진 entity로 디지털 시계를 설계하며, pin할당 역시 주어진 pin table을 참고하여 ... 다음은 13:00:00이 아닌, 01:00:00이 되고, 리셋시, 12:58:20초로 초기화가 되게끔 설계한다.② Describe how do you solve the problem.Xilinx
    리포트 | 8페이지 | 3,000원 | 등록일 2009.11.12
  • 베릴로그 verilog 전자시계, digital watch verilog 실행 file
    리포트 | 10,000원 | 등록일 2013.09.09 | 수정일 2022.09.10
  • VHDL이용, Digital Clock(디지털 시계)및 부가기능(타이머, 알람, 세계시간등)제작
    자일링스를 이용한 프로그램 xilinx ISE Design Suite 14.6에서 Verillog를 이용하여 회로를 설계하고 그에따른 결과물을 확인한다.나. ... 전자전기컴퓨터설계실험2프로젝트 최종보고서제출일자 : 2013.12.09.일실험주제: DIGITAL CLOCK 설계1. Introduction (실험에 대한 소개)가. ... Essential Backgrounds (Required theory) for this Lab(1)Text LCD- Text LCD를 이용하여 Digital Clock을 구현하시오.시
    리포트 | 22페이지 | 5,000원 | 등록일 2014.02.14 | 수정일 2021.08.25
  • Spartan 3E Board를 사용하여 디지털 시계 만들기
    이러한 사회적 요구를 바탕으로 시계를 직접 제작하고자 한다.설계 목적사람들에게 정확한 시간과 실생활에서 시간과 관련된 필요한 기능을 제공하는 디지털 시계를 제작하는데 목적이 있다.구현 ... 이 모듈이 포함되므로 인해 시계에서 보다 정확한 시간을 얻을 수 있다.Figure SEQ Figure \* ARABIC 1 교안에 제시된 Debouncing C Code비용Xilinx ... Spartan 3E에서 발생되는 50MHz의 Clock을 디지털 시계에서 사용되는 1Hz의 Clock과 Debouncing 회로, LCD출력 회로에서 사용되는 1kHz의 Clock을
    리포트 | 23페이지 | 5,000원 | 등록일 2011.10.30
  • 디지털 도어락 및 디지털 시계 및 알람(digital door lock, digital clock) -vhdl 논리설계회로
    시계모드 , 디지털 시계설정모드, 알람모드, 그리고 다른 모드들과 동시에 작동하는 음소거 모드가 있다. ... 컴포넌트로는 7segment , key입력 컴포넌트, piezo컴포넌트 dot matrix컴포넌트, lcd컴포넌트 , 디지털 시계 컴포넌트가 있으며 이들을 전부 합쳐 가장 상위의 모듈에서 ... 2.구현 방법 & 결과의 구조 및 구성모드의 구현가장 먼저 모드를 구현하는데 모드는아무것도 안하는 기능을 하는 menu모드 , 문열기 모드 , 도어락 비밀번호 설정 모드 , 디지털
    리포트 | 48페이지 | 3,000원 | 등록일 2011.06.03
  • [9주차] DIGITAL CLOCK
    이를 위해, DIGIT 표시방식인 SEGMENT와 FPGA 보드에서 나오는 4Mhz의 오실레이터clock의 분주를 이해하고 Xilinx tool과 RoV-Lab의 연결을 통해 실제로 ... 몇몇 숫자(0, 6, 7, 9)는 둘 이상의 다른 방법으로 표시가 가능하다.7세그먼트 표시 장치의 각 획은 맨 위쪽 가로 획부터 시계 방향으로, 그리고 마지막 가운데 가로 획까지 각각 ... 과 목 : 논리회로설계실험과 제 명 : Digital Clock 설계담당교수 : 정일섭 교수님학 과 : 전자전기공학과학 년 : 3학년이 름 :제 출 일 :논리회로설계실험 - Digital
    리포트 | 13페이지 | 2,000원 | 등록일 2012.06.30
  • Spartan 3 E Board Digital Watch(디지털 시계)
    여기서 Basic 기능은 AM/PM, 시간, 분, 초 의 표시로서 기본의 디지털 시계가 지녀야할 기능을 말하고 있다. ... 가장 기본적인 시계의 동작은 이처럼 동작한다. 시계에 대한 자세한 설명은 아래 소스의 주석으로 남겨놓았다. ... Time_Set Source Code 시계의 기본기능을 담당하는 부분이다. 시간을 발생시키는 소스를 직접 작성하여 LCD에 데이터를 전송하여 출력을 할 수 있도록 작성하였다.
    리포트 | 66페이지 | 5,900원 | 등록일 2008.08.29
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 16일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
2:49 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대