• 통큰쿠폰이벤트-통합

bcd to excess-3 코드의 spartan-3 보드 구현결과(VHDL)

*석
최초 등록일
2008.06.01
최종 저작일
2008.06
19페이지/ MS 워드
가격 3,000원 할인쿠폰받기
다운로드
장바구니

소개글

bcd to excess-3 코드의 spartan-3 보드 구현결과(VHDL)
BCD를 EXCESS-3로 바꾸는 VHDL 코드와, 그 코드에 대한 spartan-3보드의 구현결과가 나타나있다.

목차

전자전기컴퓨터설계실험Ⅲ
BCD to Excess-3 code Converter
목 차
실험 목적
실험 도구
Post Lab (실험 결과 및 분석, 논평)
1. Specify the simulation results (for assignments 1 and 2) obtained in the class in detail.
<실험 구현결과>
Functional simulation
Timing simulation
<실험 구현결과>
2. Specify the experimental results (for assignments 1 and 2) obtained in the class in detail.
<실험에서 사용한 Source Code>
<실제 Spartan-3 보드의 LCD 화면>
3. Analyze and comment the VHDL codes and the results.
Describe the final VHDL codes in detail.
If any revision is made for the VHDL codes, indicate the reasonsfor the revision clearly.
If the results are different from the expected ones, express thereasons explicitly and reasonably.
2번 과정에서 이미 언급된 내용이다. VHDL코드만 다시 써넣었다.
※ 토론 및 논평

본문내용

파일내용 상세보기
전자전기컴퓨터설계실험Ⅲ
BCD to Excess-3 code Converter
목 차
실험 목적
실험 도구
Post Lab (실험 결과 및 분석, 논평)
실험 목적
이번 실험은 Xilinx 프로그램을 활용하여 BCD코드를 Excess-3 코드로 변환시키는 변환기를 구현하는 것인데, Behavioral VHDL 모델과, Data Flow모델을 각각 사용해서 원하는 결과값을 얻을 수 있도록 구현해본다.
실험 도구
personal computer, Xilinx ISE 9.2i program, Spartan-3 Board, JTAG cables
Post Lab
1. Specify the simulation results (for assignments 1 and 2) obtained in the class in detail.
Functional simulation

<실험 구현결과>
Prelab에서 구현한 결과와 실제 실험에서 구현한 결과가 일치한다.
그림에서 bcd 코드에 3을 더한 값이 excess3로 출력됨을 볼 수 있다.
Timing simulation

<실험 구현결과>
Prelab에서 구현한 결과와 실제 실험에서 구현한 결과가 일치한다.
실제 코드를 보면 bcd 코드에 3을 더한 값이 excess3로 출력됨을 볼 수 있다.
<실제 Spartan3 보드 LED 구현 결과>
0000 입력................입력 0101 입력 0110 입력 0111 입력 1000 입력 1001 입력
1010 입력
2. Specify the experimental results (for assignments 1 and 2) obtained in the class in detail.
<실험에서 사용한 Source Code>
library IEEE; --ieee 라이브러리
use IEEE.STD_LOGIC_1164.ALL;
use .............architecture behavioral of bse is --bse는 entity name, Table은 architecture name
signal state, nextstate: integer:=0;
begin

참고 자료

없음

자료후기(1)

*석
판매자 유형Bronze개인

주의사항

저작권 자료의 정보 및 내용의 진실성에 대하여 해피캠퍼스는 보증하지 않으며, 해당 정보 및 게시물 저작권과 기타 법적 책임은 자료 등록자에게 있습니다.
자료 및 게시물 내용의 불법적 이용, 무단 전재∙배포는 금지되어 있습니다.
저작권침해, 명예훼손 등 분쟁 요소 발견 시 고객센터의 저작권침해 신고센터를 이용해 주시기 바랍니다.
환불정책

해피캠퍼스는 구매자와 판매자 모두가 만족하는 서비스가 되도록 노력하고 있으며, 아래의 4가지 자료환불 조건을 꼭 확인해주시기 바랍니다.

파일오류 중복자료 저작권 없음 설명과 실제 내용 불일치
파일의 다운로드가 제대로 되지 않거나 파일형식에 맞는 프로그램으로 정상 작동하지 않는 경우 다른 자료와 70% 이상 내용이 일치하는 경우 (중복임을 확인할 수 있는 근거 필요함) 인터넷의 다른 사이트, 연구기관, 학교, 서적 등의 자료를 도용한 경우 자료의 설명과 실제 자료의 내용이 일치하지 않는 경우

이런 노하우도 있어요!더보기

최근 본 자료더보기
유니스터디 이벤트
bcd to excess-3 코드의 spartan-3 보드 구현결과(VHDL)
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 14일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
8:51 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대