• 통큰쿠폰이벤트-통합
  • 통합검색(5,296)
  • 리포트(4,795)
  • 자기소개서(264)
  • 시험자료(131)
  • 방송통신대(92)
  • 논문(10)
  • 서식(3)
  • ppt테마(1)

"논리회로결과" 검색결과 181-200 / 5,296건

  • [논리회로 및 실험1 결과보고서] 실험7. 부울 법칙과 드모르간의 정리 결과보고서
    결과 및 결론회로도타이밍 다이어그램* 회로도에서 A 라고 표시된 부분은 바로 접지와 연결된 것이 아니라 함수 발생기와 연결되어 있다.회로도타이밍 다이어그램B = 0일 경우의 타이밍 ... 논리회로 이론시간에 글이나 말로만 설명들었었던 부울 법칙을 오실로스코프를 통하여 직접 눈으로 관측함으로써 그저 외우기에 바빴던 법칙들에 대해 애매했었던 부분들이 상당부분 해소될 수 ... 그 결과 출력 파형 X 는 0 의 파형을 보였다.* 다섯번째 회로에 대한 출력부분의 파형(A + AB = A)다섯번째 회로는 OR 게이트의 첫번째 입력이 함수 발생기와 연결되어 있으며
    리포트 | 7페이지 | 2,000원 | 등록일 2019.04.26 | 수정일 2019.05.27
  • 논리회로실험_결과4
    물론 같은 먹스나 디먹스를 두가지 방법으로 각각 구현하였더라도 약간의 측정값 차이가 있었을 수는 있었겠으나 결과 논리값을 당연히 같게 나왔을 것이고 이건 이미 예비보고서의 시뮬레이션을 ... 디멀티플렉서(1) Enable을 갖는 1x4 디멀티플렉서를 74HC11과 74HC04를 이용하여 다음 회로와 같이 구성한다. ... 50+5L00+500+50H0+50+50+5+5L0+500+500H0+5+50+5+5+5L0+5+5+5000H실험 1.(3) 4x1 멀티플렉서 IC인 74HC153을 이용하여 다음 회로
    리포트 | 2페이지 | 1,500원 | 등록일 2012.07.13
  • [기초전자회로실험1] 디지털공학 실험 논리게이트 1.2 결과 자료
    Preliminary report Electronic Engineering[표 4-1]입력출력출력전압측정치ABX0015.0095V0114.7886V1014.7043V1100V[표 4-4]입력출력출력전압측정치ABX0000.0071V0115.0093V1014.9095V11..
    리포트 | 3페이지 | 1,000원 | 등록일 2019.03.24 | 수정일 2019.04.01
  • [디지털 논리회로 실험] 14장. 레지스터 결과레포트
    논리회로실험 A반결과14장레지스터5조이름학번실험일15.05.26제출일15.06.02실험에 사용된 기기 및 부품 : HD74LS74AP, SN74LS157N, 직류전원공급장치, 디지털 ... 회로도이다. ... 그름 은 전송제어 입력이 있는 병렬레지스터의 회로도이다. 전송 제어 입력이 0이면 레지스터 입력이 전송되지 않으며 전송 제어 입력이 1일 때만 레지스터 입력이 전송된다.
    리포트 | 3페이지 | 1,500원 | 등록일 2016.01.14 | 수정일 2022.10.09
  • 06 논리회로설계실험 결과보고서(순차회로)
    논리회로설계 실험 결과보고서 #6실험 6. 순차회로 설계1. ... I값이 1이 된 후 rising clock 상태 이후 8 클락 뒤에 결과가 출력된다.3. 고찰이 전까지 설계했던 조합회로가 아닌, 순차회로를 설계하는 시간을 가졌다. ... 실험 결과실험 1.
    리포트 | 7페이지 | 3,000원 | 등록일 2014.09.27 | 수정일 2021.04.15
  • 조합논리회로 2 멀티플렉서 결과보고서
    ■실험의 의의-이번 실험은 조합논리회로2:멀티플렉서 라는 실험으로서 저희가 한 실험들의 종류로는 1.멀티플렉서, 2.디멀티플 렉서, 3.멀티플렉서 및 디멀티플렉서의 중첩이 있습니다. ... 중간 과정에서 부분 PIN이 고장난 IC의 사용으로 인하여 애를 먹기도 하였지만, 반복적인 실험으로 인하여 제대로 된 결과값을 얻을 수 있었습니다. ... 1 1 1 1000 0 0 0 0 0 0 01 1 11 0 0 0 0 0 0 0111 0 0 0 0 0 0 01 1 10 1 1 1 1 1 1 1000 0 0 0 0 0 0 0■결과
    리포트 | 2페이지 | 1,500원 | 등록일 2014.11.28
  • 논리회로실험) Counter/ Timer 결과레포트
    실험 과정- 본 실험의 목적은 Up & Down Counter의 논리회로를 이해하고, 타이머의 특성 및 동작에 대한 이해를 바탕으로 그것을 실험을 통해 익히는 데 있다. ... 실험은 Quartus II를 이용하여 회로를 구현하고, FPGA 에 연결하여 회로 결과를 확인하고 Modelsim을 이용하여 파형을 확인한다.* 본 실험에서는 첫 번째 과정에서 Up-counter의 ... 확인하기 위한 회로.3 ) FPGA 에서의 결과 값을 확인하기 위한 입/출력 포트 연결Signal NameNodesFPGA Pin때 ) ④ Up-counter 보드 successful
    리포트 | 10페이지 | 2,000원 | 등록일 2014.01.06
  • 아주대 논리회로실험 설계 프로젝트 결과보고서
    결과1은 key0을 통하여 counting을 시작하여서 96477의 숫자를 나타내고 있는 순간이며, 이 순간이 key0을 다시 눌러서 숫자를 정지시켜준다. ... 실험 관찰 결과 및 분석 - 이클래스에 올라온 확장보드를 참고하여서 핀 플레너의 설정을 해주고 PIN_T10에 해당하는 J2의 20번핀을 segment의 a에 해당하는 핀을 연결시켜주고 ... 가운데에 세로로 가로지르는 선이 Up/Down Switch 와 연결되어 있어서, 누를 때마다 Up/Down Counting과 함께 반전기능도 수행하여 결과적으로 ‘현재 상태에서 99999까지
    리포트 | 8페이지 | 2,000원 | 등록일 2016.06.16
  • 논리회로실험) Register / Shift register 결과
    : 1 , clk : 1 일 때,- clk 가 1이 되는 순간 부분에서 그 값이 입력 값으로 출력된다.* 위에서 언급한 것과 같이 Register는 Flipflop을 연결한 조합논리회로이므로 ... 작성[ 사진 ] Shift register 회로 Testbench3 ) Shift register 회로 Wave 결과 확인[ 사진 ] Shift Register 회로 Wave 결과 ... 직접 결과 값을 확인해보는 첫 번째 실험과, Ring counter 회로를 구현하고, 그 회로에 대한 특징과 원리를 직접 결과 값으로 확인해보는 두 번째 실험을 걸쳐 시행하였다.①
    리포트 | 11페이지 | 2,000원 | 등록일 2014.01.06
  • 논리회로실험) Mux and Demux 결과
    ], i2[1..0], i3[1..0], sel[1..0]- Output : d[1..0]2 ) 4 x 1 multiplexer 회로의 파형 결과를 확인하기 위한 Testbench ... [1..0] , d2[1..0] , d3[1..0]2 ) 1 x 4 demultiplexer 회로의 파형 결과를 확인하기 위한 Testbench 작성[ 그림 ] 1 x 4 demultiplexer ... 실험 고찰1. 4 x 1 multiplexer의 회로를 구현하기 위해 Quartus II를 이용하여 회로를 구현한 후 ModelSim 값과 DE2-115에서의 동작을 확인한다.1 )
    리포트 | 10페이지 | 2,000원 | 등록일 2014.01.06
  • [디지털 논리회로 실험] 18장. 링 카운터와 존슨 카운터 결과레포트
    디지털논리회로실험 X반결과 레포트주제18장. 링 카운터와 존슨 카운터X조이름학번실험일XX.XX.XX제출일XX.XX.XX1. ... 결과(1) JK 플립플롭을 이용한 링(Ring) 카운터회로도 및 IC 핀 번호결과표(※ Q₃ → Q? 순이 아닌 Q? ... → Q₃ 표기)(2) D 플립플롭을 이용한 존슨(Johnson) 카운터회로도 및 IC 핀 번호결과표(※ Q₃ → Q? 순이 아닌 Q?
    리포트 | 5페이지 | 1,000원 | 등록일 2017.07.02
  • 아주대학교 논리회로실험 실험3 가산김.감산기 결과보고서
    그리고 그 예상 값을 구해와 실제로 회로를 구성하고 결과를 예상 값과 비교 해보았다. 결과는 예상대로 나왔다. ... 이때, 아래 자릿수에서 발생한 캐리까지 포함하여 세 비트를 더하게 되는데 이러한 연산을 하는 논리회로가 바로 전가산기이다.실험 31) 반감산기logic diagram결선도x=0, y ... them in following this code of ethics.위 IEEE 윤리헌장 정신에 입각하여 report를 작성하였음을 서약합니다.학 부: 전자공학과제출일:과목명: 논리회로실험학
    리포트 | 6페이지 | 1,500원 | 등록일 2019.02.20
  • 논리회로실험 결과보고서6 Latch & Flip-Flop
    그리고 이번 실험은 지금까지의 실험과는 그 성격이 달랐는데, 지금까지 구성해왔던 조합논리회로가 아닌 순차논리회로를 구성해보는 것이었다. ... 따라서 두 논리회로의 특성과 차이점을 알아보았고, 그 과정에서 클럭 신호의 개념에 대해서도 알게 되었다.? 조합논리회로란 입력의 변화가 바로 출력에 반영되는 회로를 말한다. ... 조합논리회로에 기억능력을 추가시킨 것이라 할 수 있다.? 클럭 신호란 논리상태 High와 Low가 주기적으로 나타나는 신호를 말한다.
    리포트 | 5페이지 | 1,500원 | 등록일 2017.03.09 | 수정일 2017.05.30
  • [대충] 결과 순차논리회로 설계 및 구현(1)
    디지털공학실험(결과보고서)실험 : 순차논리회로 설계 및 구현(1)◆실험가. 4비트 이진 리플 카운터를 구성하고 다음의 표를 완성하라.클럭출력십진수(DSTM1)Q3Q2Q1Q00LLLL01LLLH12LLHL23LLHH34LHLL45LHLH56LHHL67LHHH78HLLL89HLLH910HLHL1011HLHH1112HHLL1213HHLH1314HHHL1415HHHH15위의 ... 사진에서의 구성한 회로는 왼쪽의 회로 그림과 동일합니다.사진에서처럼 실제 구성한 회로에서는 최초CLK CP에 따라 변하는 결과값 Q0, Q1, Q2, Q3를 바로바로 눈으로 확인하기 ... 반복됨을 바로 확인하며, 실험을 잘 마무리 할 수 있었습니다.결과값이 바로바로 LED 전구에 나타났기에 오차가 발생하지 않았음을 바로 알 수 있었습니다.
    리포트 | 3페이지 | 1,000원 | 등록일 2015.01.17
  • [대충] 결과 순차논리회로 설계 및 구현(2)
    사진에서의 구성한 회로는 교재의 회로 [그림8-9]과 동일합니다.다만 사진에서처럼 실제 구성한 회로에서는 결과 값 Q0, Q1, Q2, Q3를 바로바로 눈으로 확인하기 위해 7-segment를 ... 디지털공학실험(결과보고서)실험 : 순차논리회로 설계 및 구현(2)◆실험가. 4비트 동기식 상향 카운터를 설계하고 출력을 확인하여 다음의 표를 완성하라.클럭수Q3Q2Q1Q0십진수초기화LLLL01LLLH12LLHL23LLHH34LHLL45LHLH56LHHL67LHHH78HLLL89HLLH910HLHL1011HLHH1112HHLL1213HHLH1314HHHL1415HHHH1516LLLL017LLLH118LLHL2위의 ... 이렇게 하게 되면 Q의 값이 L로 초기화 됩니다.이 후 실험을 진행하며 얻은 결과값 Q0, Q1, Q2, Q3에 연결된 74x48 디코더 소자의 출력값을 7-segment의 입력과
    리포트 | 3페이지 | 1,000원 | 등록일 2015.01.17
  • 논리회로설계실험 ALU & multiplier (결과보고서)
    각 자리마다 어떠한 연산을 할지 정하게 되는데 이번에 설계할 8비트 ALU 회로는 산술연산 (덧셈, 뺄셈, 증가, 감소), 논리연산(AND, OR, XOR, NOT), 시프트연산을 ... 수행할 수 있는 회로로, 총 12가지의 연산을 할 수 있게 만들어 준다. ... 먼저 ALU는 if와 case문을 활용하여 회로를 설계하게 되는데 ALU 자체가 연산을 하고 싶은 상태를 지정하여 주는 것이기 때문에 if와 case의 역할과 크게 다른 것이 없게
    리포트 | 19페이지 | 1,000원 | 등록일 2015.08.25
  • 논리회로설계실험 FSM moore LCD (결과보고서)
    이러한 활동들은 논리적인 상태들의 진행으로 기술되는 호출 과정을 가진다. ... [네이버 지식백과] 무어 순서 기계 [Moore sequential machine, -順序機械] (IT용어사전, 한국정보통신기술협회)>>설계한 회로이번에 설계한 회로는 moore machine을 ... 순서 회로의 경우, 그림에 표시한 바와 같이 현재의 출력이 현재의 입력에 의하지 않고 현재의 상태만으로 규정된다.
    리포트 | 19페이지 | 1,000원 | 등록일 2015.08.25
  • 순서논리회로 1 플립플롭 결과보고서
    에 를 자유롭게 이용하여 일명 '플립플롭' 이라는 게이트를 제작해 봅니다.플립플롭이란 두 개의 안정상태 중 어느 쪽이든지 한쪽을 보존하는 논리회로의 일종이며, 두 개의 상태를 0과 ... 플립플롭 회로가 실제로 왜 필요해야 하는지 등의 이유를 알게 되었습니다.또한 이번 회로를 설계하며 느낀 점은, 각 칩의 데이터 시트에 대해 정확히 이해하게 되었으며, 실질적으로엔지니어가 ... ■이번 실험에서는 지난 실험시간에 숙지하였던 기본적인 6가지의 논리게이트 (NOT gate, AND gate, OR gate, NAND gate, NOR gate, XOR gate)
    리포트 | 2페이지 | 1,500원 | 등록일 2014.11.28
  • 순서논리회로 2 시프트 레지스터 결과보고서
    결과 및 토의-이번 실험에서는 이론값과 실제값이 특별하게 잘못 되거나 차이나는 점이 없었는데, 그 이유는 회로 설계 혹은IC칩 내부 고장 등등의 이유로 잘못되어진 부분은 다시 반복실험하여 ... ■실험의 의의-이번 실험은 순서논리회로의 두 번째 시프트 레지스터 라는 실험이었습니다.목적과 이론을 예비보고때 파악함으로써, 추상적이었던 목적과 이론을 직접적인 실험을 통하여링카운터 ... -그 이외에도 직렬데이터 통신, 디지털 금고, 시간 지연회로, 난수발생회로 등의 광범위하게 사용됩니다.
    리포트 | 2페이지 | 1,500원 | 등록일 2014.11.28
  • 논리회로실험 6주차 결과보고서
    번 경우 ]Multiplxer는 여러 입력선 중에서 하나를 선택하여 해당 입력선의 2진 정보를 출력선에 연결하는 조합논리회로이다.i0[1]=0, i0[0]=1, i1[1]=1, i1 ... 2X1 multiplexer의 Modelsim을 이용한 결과 파형 확인d1d0? ... 다섯 번째와 여덟 번째도 enable신호가 1이고 입력 값이 있지만, 결과 값을 분석해 볼 때, i0와 i1모두에 값이 입력되어야만 출력 값이 나온다는 것을 알 수 있다. (2x1
    리포트 | 8페이지 | 1,000원 | 등록일 2014.01.05 | 수정일 2014.09.30
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 16일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
3:49 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대