• 통큰쿠폰이벤트-통합
  • 통합검색(5,296)
  • 리포트(4,795)
  • 자기소개서(264)
  • 시험자료(131)
  • 방송통신대(92)
  • 논문(10)
  • 서식(3)
  • ppt테마(1)

"논리회로결과" 검색결과 161-180 / 5,296건

  • 아주대학교 논리회로실험 실험5 결과보고서
    회로 구성에 익숙해져 저번보다 빠르고 정확하게 회로를 구성하였다. ... - 분석이번 실험은 2×4 Decoder 의 회로를 구성해 확인해보는 실허이었다. 디코더는 n비트의 2진코드를 2^n 개의 서로 다른 정보로 바꾸어 주는 조합 놀리회로이다. ... 입력이 2개라면 즉, 2개의 bit가있다면, 이 bit가 만들 수 있는 수는 개,즉 4개이다.- 분석이번 실험은 BCD to Decimal Decoder 의 회로를 구성해 확인해
    리포트 | 6페이지 | 1,500원 | 등록일 2019.02.20
  • 디지털공학실험 06. 조합논리회로응용 결과
    < 조합논리회로 응용 결과보고서 >실험사진[ 7-segment LED 회로 6, 8, 3 점등 사진 ]결과보고 및 검토 / 고찰 및 토의이번 실험에서는 회로에서의 스위치 사용과 애노드형 ... ~ 1001)을 10진수로 (1st bit - 2^3가중치, 2nd bit – 2^2가중치 3rd bit – 2가중치 4th bit - 1가중치 를 적용) 나타내어 0~9까지 의 결과값이 ... 마다 7-segment 표시기의 (a, b, c, d, e, f, g) 출력으로 변환해주는 디코더의 사용까지 학습하였다.그리고 그것을 통해서 조교님께서 잘 알려주신 덕분에 정확하게 회로
    리포트 | 1페이지 | 1,000원 | 등록일 2017.06.29
  • 아주대학교 논리회로실험 실험2 CMOS의 회로의 전기적 특성 결과보고서
    실험 1,2 에서는 신호의 입력, 실험 3에서는 신호의 출력, 실험 4에서는 신호의 시간지연에 대해 알아봤다.실험 1에서는 CMOS회로가 입력 전압에 따라 논리값을 정하는 방식과 그로 ... them in following this code of ethics.위 IEEE 윤리헌장 정신에 입각하여 report를 작성하였음을 서약합니다.학 부: 전자공학과제출일:과목명: 논리회로실험학 ... 실험 결과실험 11) Inverter의 입출력 특성 확인logic diagram결선도실험 사진오실로스코프 화면2) 분석결선도대로 회로를 구성하였고 올바른 결과가 출력되었다.
    리포트 | 7페이지 | 1,500원 | 등록일 2019.02.20
  • 논리회로설계실습-FSM-결과보고서
    논리회로설계 실험 결과보고서 #9실험 9. ... 최종적으로 작성된 코드와 하드웨어의 작동이 일치하는지 확인해 본다.실험 결과 스텝 클록(펄스) 발생 회로를 이용한 링 카운터를 설계해 본다.소스코드스텝 클록 사용 링카운터 작동 사진 ... 따라서 하드웨어 동작에 대하여 Reset 버튼을 눌렀을 경우 위의 실험 결과와 같이 가장 오른쪽 LED등이 점등 된다.
    리포트 | 6페이지 | 1,500원 | 등록일 2018.01.10
  • 논리회로설계실험_라인트레이서_프로젝트_결과보고서
    논리회로설계 실험 설계프로젝트라인트레이서1. 실험 목표VHDL을 이용한 여러가지 VHDL표현 방식에 대해서 이해하였으며 그에 따른 여러 조합회로와 순차회로 설계를 수행하였다. ... 실험 결과- 모의트랙 주행 1차 시기직선 주행 트랙의 경우 직진 기능과 센서의 바닥 감지는 정상적으로 작동. 흰색선을 감지하였을 때 트랙과 반대로 움직이는 것을 확인. ... 순차회로에서 수행한 내용들이 linetracer를 구현하는데 많이 사용되었으며 분주기 설정, finite state machine 설계 등이 있었다.
    리포트 | 9페이지 | 6,000원 | 등록일 2018.01.10
  • 논리회로설계실험 기본게이트설계 결과보고서
    논리회로설계 실험 결과보고서 #1실험 1. 기본게이트 설계1. ... 실험 목표주어진 진리표를 해석하여 해당하는 입출력 관계를 가진 논리회로를 Xilinx 프로그램을 사용하여 설계 해본다. ... 또한 조건 ‘외의’ 결과를 else를 사용하여 정의하였다.
    리포트 | 5페이지 | 1,500원 | 등록일 2018.01.10
  • 논리회로설계실습 디코더 엔코더설계 결과보고서
    논리회로설계 실험 결과보고서 #4실험 4. 조합회로 설계 - 디코더, 엔코더1. ... 따라서 앞서 패리티비트가 체크했던 위치를 다시 한 번 체크하면 기존의 패리티비트 값과 결과가 달라진다. ... 여기서 보내고자 하는 4비트 데이터를a_3 a_2 a_1 a_0이라 하고 3비트의 패리티 비트를r_1 r_2 r_3이라 하면 패리티 비트는 다음과 같은 논리로 생성된다.r_0 = a
    리포트 | 9페이지 | 1,500원 | 등록일 2018.01.10
  • 논리회로실험 결과보고서7 Shift Register
    또한 매우 주의를 기울여서 회로를 구성했을 시에도왼쪽 사진과 같이, 초기화가 제대로 안되거나, Shifting이 제대로 되지 않는 결과가 도출되었다. ... 이번 실험에서 가장 어려움을 겪었던 것은, 결과 값 도출이었다. 회로 구성 시에 사용하는 전선의 수가 매우 많아, 실수가 없도록 매우 주의하여 구성하여야 했다. ... 회로이다.
    리포트 | 4페이지 | 1,500원 | 등록일 2017.03.09 | 수정일 2017.05.30
  • 논리회로실험) ALU 결과레포트
    실험 과정- 본 실험의 목적은 ALU 회로논리와 특성을 이해하고, 그 이론을 바탕으로 실험을 통해 ALU 회로를 익히는 데 있다. ... 실험은 Quartus II를 이용하여 회로를 구현하고, FPGA 에 연결하여 회로 결과를 확인하고 Modelsim을 이용하여 파형을 확인한다.* 본 실험에서는 ALU 회로를 구현하기 ... 실험 고찰* ALU 의 회로를 구현하기 위해 Quartus II 를 이용하여 회로를 구현한 후, Modelsim에서의 파형과 그 결과 값을 확인한다.1 ) Quartus II 구동
    리포트 | 11페이지 | 2,000원 | 등록일 2014.01.06
  • [논리회로 및 실험1 결과보고서] 실험 9. 연필자판기 결과보고서
    실험목표* 주입된 ‘동전’의 양을 기준으로 하여 ‘연필’과 ‘거스름돈’을 지급하는 논리 회로의 설계와 구성.* 실험 회로결과에 대한 보고서 작성2. ... 결과 및 결론이전까지의 실험은 논리회로에 대한 이론이나 기본적인 사항들을 익힐 수 있는 실험들이었다면 이번 실험부터는 무언가 지금까지 배운 이론들을 활용하여 실용적인 회로를 구성할 ... NAND 게이트 2개로 회로 구성하기 / 2.
    리포트 | 9페이지 | 1,500원 | 등록일 2019.04.26
  • 논리회로설계실험 스톱워치 설계과제2 결과보고서
    논리회로설계 실험 설계과제 보고서 #2Stopwatch실험 배경 및 목표VHDL을 이용한 여러가지 VHDL표현 방식에 대해서 이해하였으며 그에 따른 여러 조합회로와 순차회로 설계를 ... FSM을 디지털 하드웨어로 설계하는 경우에는 state 변수를 기억하는 레지스터 블록과 state 변수의 천이를 표현하는 함수와 출력 값을 결정하는 함수를 위한 조합 논리 회로의 영역으로 ... 눈에는 동시에 켜지는 것처럼 인지하게 된다.조원2의 고찰RoV-Lab3000를 사용하여 stopwatch 기능을 하도록 설계된 코드가 정상적으로 작동하는지 확인해 보았으며 위와 같은 결과
    리포트 | 9페이지 | 8,000원 | 등록일 2018.01.10
  • 회로실험I 결과보고서 - 논리 게이트 및 부울 함수의 구현
    하지만 이전에는 실제 회로가 아닌 프로그램을 이용하여 회로를 구성하고 결과값을 도출하는 실습을 했었는데, 구성이 짜여진 회로 프로그램이 아닌 내가 하나하나 다 구성해야 하는 실제 회로인지라 ... ) SN7486 및 SN7404를 이용하여 회로를 구성하고, 각각에 대하여 진리표를 구성하라.고찰논리회로는 전적대에서 부울대수와 카르노프맵을 이용하여 기초적인 부분을 배우고 온 상태라 ... 작성하라.(4) SN7404로 회로를 구성하고 각 단자의 진리표를 만들어라.(5) SN7402로 다음과 같이 회로를 구성하고, B단자의 3가지 입력에 대하여 진리표를 구성하라.(6
    리포트 | 6페이지 | 1,500원 | 등록일 2019.05.13 | 수정일 2020.05.06
  • 논리회로의 간략화(예비,결과)
    실험 결과- 실험결과(1) AB'C+ABC+A'BC+A'BC' 의 간략화 실험그림 4-5 AB'C+ABC+A'BC+A'BC'의 간략화 논리회로 (AC+A'B)표 4-4 실험 1의 결과 ... 실험 6에서는 TTC IC 칩을 활용해 논리회로를 구성한 뒤 DC 전압을 연결하고 결과값을 측정하였다.회로를 구성한 뒤 파워서플라이의 전압부터 측정하였는데, 소수점 첫째자리까지를 보여주는 ... 부울대수로 간략화하여 논리적의 논리화 형태의 논리회로를 그림 4-5에 그려 넣는다.C.
    리포트 | 13페이지 | 2,000원 | 등록일 2012.10.11 | 수정일 2013.11.18
  • 논리회로실험 결과보고서4 Multiplexer & Demultiplexer
    Multiplexer & Demultiplexer실험 과정 및 결과Part 1. ... 디지털 논리 회로에서는 Active low을 주로 이용하는데, 그 이유는 다음과 같다. 첫 번째로, 노이즈의 영향을 줄이기 위함이다. ... 하지만 평상시에 0V를 유지하다가 2V의 노이즈가 들어오면 그 논리는 High가 되어, 논리가 바뀌어 버리는 것이다. 두 번째로, 전력 소모를 줄이기 위함이다.
    리포트 | 5페이지 | 1,500원 | 등록일 2017.03.09 | 수정일 2017.05.30
  • 논리회로실험 결과보고서5 Decoder & Encoder
    Decoder는 부호화된 입력을 부호화된 출력으로 변환하는 다중 입력 및 출력 논리회로이다. ... 그 결과는 예비보고서에서 예상했던 것과 일치하였고, 회로 결선도 또한 실제 회로 구성과 같았다. Truth table은 다음과 같다.Part 2. ... 그 결과는 예비보고서에서 예상했던 것과 일치하였으나, 회로 결선도에서 조금 달랐는데 이는 74HC42의 특성을 확인하지 못했기 때문이었다.
    리포트 | 5페이지 | 1,500원 | 등록일 2017.03.09 | 수정일 2017.05.30
  • 논리회로실험_결과6
    역시 예비보고서에서 시뮬레이션으로 돌려본 회로의 구성을 그대로 했고 결과값도 시뮬레이션과 같이 나왔다. ... 구성해야지 결과가 나오는 것 같다.10진 리플카운터는 회로도를 두가지 방법으로 해봤는데 먼저 실험을 마친조가 했던 회로도로도 해 보고 내가 예비보고서에서 시뮬레이션으로 돌렸던 회로도로 ... 작동하지 않았고 F/F을 쓰면서 각 F/F 연결과정에 NAND gate를 조합해서 연결 한 회로도로 해야 결과가 나오는 것 같습니다.
    리포트 | 4페이지 | 1,500원 | 등록일 2012.07.13
  • 논리회로실험 결과2
    슈미트리거 회로의 존재이유는 이런식으로파라메터측정값(V)데이터시트 TYP. ... CMOS 회로의 전기적 특징실험의 목적 : High-speed CMOS logic family인 74H시리즈의 전기적 특성을 이해하고 실험을 통해 동작을 확인한다.실험1. ... 그래서 우리는 실험1과 2를 통해서 74HC04N과 SN74HC14의 동작에 대한 차이를 알아보았다. 74HC04N에 비해서 SN74HC14는 슈미트리거 회로로서 히스테리시스 구간을
    리포트 | 3페이지 | 1,500원 | 등록일 2012.07.13
  • 아주대학교 논리회로실험 실험1 Basic Gates 결과보고서
    논리회로의 배열에 따라 아주 다양한 논리연산이 가능하다는 것도 또한 알 수 있었다. ... them in following this code of ethics.위 IEEE 윤리헌장 정신에 입각하여 report를 작성하였음을 서약합니다.학 부: 전자공학과제출일:과목명: 논리회로실험학 ... 그래도 이번 실험을 통해서 이론적으로만 생각했던 논리 게이트의 동작을 LED를 이용하여 직접 확인함으로서 보다 정확하게 이해할 수 있었다.
    리포트 | 7페이지 | 1,500원 | 등록일 2019.02.20
  • 논리회로설계실험 ALUkit (결과보고서)
    [네이버 지식백과] ALU [Arithmetic and Logic Unit] (용어해설)위의 ALU를 통하여의 연산을 수행하는 회로를 설계한다.이렇게 수행된 결과를 아래의 7segment로 ... 이것은 산술연산과 논리연산을 하는 유닛이다.외국어 표기Arithmetic and Logic Unit(영어)ALU arithmetic and logic unit 산술 논리 연산 장치( ... Conclusion이번 실험은 이전에 설계하였던 ALU회로를 사용하여 키트에 직접 적용시키는 회로였다.
    리포트 | 20페이지 | 1,000원 | 등록일 2015.08.25
  • 논리회로실험_결과8
    이런식으로 몇 개값을 입력하고 읽어보는 것으로 회로의 동작을 확인 해 보았다. ... 이때 실험을 해 본 결과 7489의 vcc를 빼고 있다가 ME, WE를 5V로 접속 시키고 난 뒤 vcc를 연결해야 제대로 된 실험 결과를 얻을 수 있었다.2) Memory location에 ... 우리조는 표 2에 주어진 조건대로 하기 전에 일단 회로가 제대로 동작이 되는지 알아보기 위해 알아보기 쉽게 0000 주소에 0000데이터, 0001주소에 0001 데이터...
    리포트 | 4페이지 | 1,500원 | 등록일 2012.07.13
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 16일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
3:40 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대