• 통큰쿠폰이벤트-통합
  • 통합검색(413)
  • 리포트(366)
  • 자기소개서(38)
  • 방송통신대(3)
  • 시험자료(2)
  • ppt테마(2)
  • 서식(1)
  • 노하우(1)

"스탑워치" 검색결과 181-200 / 413건

  • VDHL을 이용한 디지털시계 설계 소스코드 (추가 기능 없음)
    Library ieee;Use ieee.std_logic_1164.all;Entity clock isport(clk : in std_logic; -- 20MHz seg_com : buffer std_logic_vector(3 downto 0); seg_data : bu..
    리포트 | 3페이지 | 1,000원 | 등록일 2016.02.07 | 수정일 2016.02.12
  • 레이놀즈수
    발생한 진동들에 의해서 오차가 발생하였을 수 있고, 물의 양을 측정할 때 500ml 비커를 사용하여 측정하였을 때 사람의 손으로 직접 물이 나오는 입구부분을 옮겨서 비커에 담고, 스탑워치
    리포트 | 8페이지 | 2,000원 | 등록일 2019.06.29
  • 2017인하대(A)벤츄리미터실험보고서
    -실험과정에 따른 오차원인에 대한 분석(1) 유량 계산을 위한 시간 측정 오차정해놓은 3L의 물이 담기는 시간을 측정할 때 핸드폰 스탑워치를 사용하여 시간을 측정 하였다. 3L의 양에
    리포트 | 5페이지 | 1,000원 | 등록일 2019.01.15
  • 디지털 전자 시계 VHDL (Flowrian 을 이용한 논리 설계 실험 )
    구현 - 알람 , 스탑워치 , 시간변경 , 시간변경(다른나라 )직접 책보면서 구현 , 작동합니다.
    리포트 | 4,000원 | 등록일 2013.12.14 | 수정일 2013.12.16
  • 특수교육 지도안(약안) - 체육 (스피드 스태킹)
    일 시대 상수업교사차시2~3교 과뉴 스포츠주 제스피드 스태킹수업시간90분장 소도움실 1반학습 자료 준비스피드 스태킹 컵, 스탑워치, 호루라기학 습목 표가양손을 사용하여 업/다운 스태킹
    리포트 | 2페이지 | 1,000원 | 등록일 2015.10.08
  • ATMEGA128을 이용한 AVR 환경에서 스톱워치만들기
    ATMEGA 128을 이용한 AVR 환경에서 인터럽트를 이용한 스톱워치만들기목차1. 문제2. 소스코드3. 고찰● delay 함수를 사용하여 소프트웨어 스톱워치를 구현한다.● 2개의 푸시 버튼 스위치를 사용하여 “start/stop” 버튼, “clear” 버튼을 구현한다..
    리포트 | 5페이지 | 1,500원 | 등록일 2015.06.25 | 수정일 2020.12.27
  • 인간의 행동과 의식 자율신경계통의 흥분정도 측정실험
    상 : ( 만 23세, 남 ), ( 만 23세, 남 )(3) 실 습 날 짜 : 2014년 3월 27일 목요일 18:00 ~ 19:00 ( 각각 30분씩)(4) 실 습 도 구 : 스탑워치 ... 실험자 결과 및 해석(1) 실 험 방 법- 실험자는 스탑워치를 이용하여 23회에 걸쳐 30초씩 피험자(허윤건)의 왼손의 맥박을 측정하였다.- 피험자는 A4용지에 실험 전에 간단히 기술한
    리포트 | 5페이지 | 2,000원 | 등록일 2014.12.22
  • 실험3 결과레포트 용존산소(DO) 측정
    0.6205g, 탄산나트륨(Na _{2} CO _{3}) 0.02g을 넣어 녹여 용액을 만들었다.마지막으로 전분용액은 증류수 10ml에 전분 1g을 넣어 잘 풀어준뒤 끓는 물에 스탑워치
    리포트 | 7페이지 | 2,000원 | 등록일 2019.02.24 | 수정일 2019.03.23
  • 정신간호학 활동 계획안
    (표현할 내용이 적힌) 스케치북 2개, 유성매직펜, 스탑워치, 화이트보드, 보드마카 등진행절차1.
    리포트 | 3페이지 | 1,000원 | 등록일 2015.11.22 | 수정일 2016.03.03
  • 설계및생산공학 기계공학 레포트 다구치 기법을 이용한 멀티 자이로콥터 강건 설계
    대표적인 예로, 스탑 워치를 실험자가 직접 작동시키기 때문에 발생한 오차를 생각할 수 있을 것이다.
    리포트 | 6페이지 | 2,000원 | 등록일 2017.12.28 | 수정일 2018.08.01
  • IC를 이용한 스톱워치
    개요타이머 IC 555를 이용한 스톱 워치 제작2. 설계 명칭 및 최종 목표설계 명칭. IC를 이용한 스톱 워치 최종 목표. ... 결과 완성물 테스트최초 스타트/스탑 버튼 눌렀을 때 : 동작동작 중 스타트/스탑 버튼 눌렀을 때 : 정지리셋 버튼 눌렀을 때 : 초기화5. 회로 구성 요소 및 예산7.
    리포트 | 10페이지 | 8,000원 | 등록일 2008.04.07 | 수정일 2022.01.15
  • 스톱워치 구현 보고서
    Term Project- Stop-Watch 실험 -과 목: 디지털회로실험 및 설계교수명:학 과: 전자공학과조번호: 12조학 번:이 름:1. 실험목표0부터 59초까지의 시간을 측정하는 데 사용되는 간단한 디지털 시계의 회로를 구성하여 실험하고 결과를 관찰한다.2. 실험..
    리포트 | 13페이지 | 2,000원 | 등록일 2013.12.03
  • 인하대 최신 A+ 기체확산 및 흡수 결과보고서 (화학공학실험)
    이때 스탑워치로 1분을 재는데 충분히 반응시키기 위해서 기달리는 것이다.1분이 지나고 나서 다시 피스톤을 5번과 같이 여러 차례 반복을 시킨다유량이 반복적으로 나오기 시작을 한다면
    리포트 | 16페이지 | 2,500원 | 등록일 2018.12.28 | 수정일 2022.06.08
  • 종이 헬리콥터 문제점과 개선방안 (기업실무실습형6시그마 종합설계 최종발표자료, 취득 점수A+)
    Gage R R 공정 능력분석 실험계획법 실시 최적 조건 도출 3/26 ~ 4/16~ 5/하여 외 부 요인 최소화 측정 장소의 표준화 → 초 단위의 소수점 둘째 자리까지 측정 가능한 스탑워치
    리포트 | 56페이지 | 2,000원 | 등록일 2019.04.24 | 수정일 2019.04.29
  • 아세톤의 브로민화 반응
    실험기구분광광도계피펫부피플라스크삼각플라스크항온조스탑워치3.
    리포트 | 8페이지 | 1,000원 | 등록일 2018.11.24
  • 매체에 따른 읽기속도와 텍스트속독을 위한 획기적인 편집디자인
    글을 읽기 시작한 시점은 본인 외에는 정확히 알 수 없으므로 피실험자가 첫 단어를 읽음과 동시에 스탑 워치를 누르고 마지막 단어를 읽은 직후 스탑 워치를 멈추도록 한다. ... 이때 피실험자에게 실험 중 시간 측정방법을 미리 숙지시키고 스탑 워치 사용법을 익히도록 한다. ... 스탑 워치는 모두 아이폰4의 'Clock Pro' 어플리케이션을 이용하였다. 측정은 소수 두 번째 자리까지 가능하다.
    리포트 | 15페이지 | 3,000원 | 등록일 2013.07.05
  • 논리회로실험 예비보고서8
    예를 들면 스탑 워치, 택시 미터기 등 여러 가지가 있으며, 가장 많이 사용하는 Counter 타입은 n비트 이진 카운터이다. 2진수는 0과 1 두 가지의 숫자를 가지고 표현하기 때문에
    리포트 | 9페이지 | 1,500원 | 등록일 2017.03.09
  • LCD와 AVR을 이용한 스톱워치 만들기
    마이크로프로세서 응용학번이름제출일1. 실험내용- Timer/Counter를 이용하여 간단한 스톱워치를 제작해 보자2. 회로도- ATmega128 기본 회로도- 실험 회로도3. 스톱워치 구현 내용- LCD에는 00:00:00 으로 분:초:초 까지 구현한다.(코딩에서 분은..
    리포트 | 3페이지 | 1,500원 | 등록일 2012.11.29
  • 유체마찰손실 예비
    워치유체(물), 온도계, 메스실린더이론적 배경1.유량유체의 흐름 중 일정면적의 단면을 통과하는유체의 체적, 질량 중량을 시간의 비율로 표현한 것2.베르누이 방정식(Bernoulli ... 16일수요일6실험목적벤츄리 관, 오리피스 관의 유량을 측정하여 유량계수를 구한다.관이 급 확대 및 급 축소 될 때 생기는 마찰 손실 수두를 구한다.학번성명기기 및 초자유체 마찰 손실 장치, 스탑
    리포트 | 9페이지 | 1,000원 | 등록일 2018.05.01
  • MyCAD를 이용한 논리회로 설계
    시작(초기)STRSTP에 한주기 신호를 인가해 줌으로써 스탑워치의 기능이 시작됨을 확인하여 볼 수 있다. ... 검증된 각 블록을 MyLogic을 사용하여 심볼화 하여 그림 3-1과 같이 전체 회로를 구성한다.5) 설계된 전체 논리회로에 대해 MySim으로 시뮬레이션 하여 설계를 검증한다.① 스탑워치
    리포트 | 5페이지 | 1,000원 | 등록일 2008.12.03
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 30일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
1:41 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감