• 통큰쿠폰이벤트-통합
  • 통합검색(413)
  • 리포트(366)
  • 자기소개서(38)
  • 방송통신대(3)
  • 시험자료(2)
  • ppt테마(2)
  • 서식(1)
  • 노하우(1)

"스탑워치" 검색결과 201-220 / 413건

  • 교육지도안-스태킹(툭수교육)
    -여러 가지 스피드 컵 쌓기 게임을 할 수 있다.지도장소학습지원실학습 자료멀티미디어자료, 스피드 컵, 책상, 스탑워치, 호루라기지도단계학습내용교수·학습 활동시간지도상의 유의점교 사
    리포트 | 5페이지 | 3,500원 | 등록일 2016.12.07
  • [간호] 정신 실습 활동요법 계획서
    몸으로 말해요 : 제시어 스케치북, 스탑워치3.
    리포트 | 2페이지 | 1,000원 | 등록일 2014.10.04
  • 기본 수동 부품에 대한 이해 결과보고서
    =10 s로 측정값과 비교적 일치한다는 것을 볼 수 있었다.다음, (그림 7)과 같이 1 ㎌ 커패시터를 2.2 ㎌ 커패시터로 바꾸어 10 V로 충전한 다음 방전시키면서 스탑워치를 이용하여 ... -3} J 으로 총 E=8.25 TIMES 10 ^{ -3} J이다.그림 5 그림 6그림 7 그림 82) (그림 5)와 같이 1 ㎌ 커패시터를 10v로 충전한 다음 방전시키면서 스탑워치
    리포트 | 5페이지 | 1,000원 | 등록일 2013.12.18 | 수정일 2016.07.16
  • [영화감상문]영화 연평해전을 보고
    아버지의 영향인지는 모르나 스탑워치를 손에 쥐고 끊임없이 전투태세를 점검했던 모습이 참 인상 깊었다.
    리포트 | 2페이지 | 1,000원 | 등록일 2015.07.09 | 수정일 2015.07.28
  • Linux Fedora(리눅스 페도라) Minicom 을 이용한 디지털시계 설계
    설계를 하려고 하였으나, 아직 프로그램 코딩에 미흡하여 디지털시계를 설계못하고 스탑워치를 설계하기로 함. ... 양식자유) : 별도 제출 시에 80%반영- 제안서(10점) : 5월 마지막 주 수업시간에 제출- 최종보고서(20점) : 과제 검사 때 제출설계 내용- 디지털 시계 설계1) 카운터 및 스탑워치 ... 따라서 기존에 인터넷 검색을 통한 자료를 바탕으로 몇 번의 수정 및 검토를 하여, 스탑워치 또는 디지털 타이머를 이용한 별도의 응용된 시스템을 설계하도록 한다.-> 우리는 기존의 디지털시계
    리포트 | 12페이지 | 1,500원 | 등록일 2012.10.11
  • 다단식 연속증류 A+ 결과레포트
    응축액의 유량을 측정하기위해 응축액 받이로 삼각플라스크를 사용했고 이를 시간을 측정하기 위해 초시계 대신 휴대폰 스탑워치를 이용했다.실험방법먼저 검량선을 그리기 위한 데이터를 얻기
    리포트 | 10페이지 | 1,500원 | 등록일 2018.05.07
  • 체육과 수업안 세안(근력,근지구력,체력왕)
    활동하기7인 1조 남 여 혼합 이질집단 코너별 활동 배치도소집단-도전성자율성♤매트, 스탑워치, 물통, 줄넘기가진다. ... ♤매트, 스탑워치, 물통, 줄넘기♣모둠활동을 하다가 힘들면 쉬도록 안내한다.단계(시간)학습내용교 수 - 학 습 활 동창의()인성()요소자료(♤) 및 지도상의 유의점(♠)교 사학 생코너별
    리포트 | 15페이지 | 3,500원 | 등록일 2015.05.11
  • [식품분석실험] SPME를 이용한 화백나무 잎의 향미성분 분석
    워치, auto pipette- GC/MS의 분석 조건GC/MS system은 6890N GC(Aglient), 5975MS(Aglient)을 사용하였다. ... 기구화백나무 잎, vial, hot plate, water bath, 내부표준물질(α-ionone 100ppm, 40㎕), fiber(DVB/Carboxen/PDMS), GC-O, 스탑
    리포트 | 4페이지 | 1,000원 | 등록일 2015.04.22
  • 쿼터스 VHDL을 이용한 디지털 시계 설계 결과
    clk내부구조설계 및 구현방법알람 모드알람 설정sw0시간 조절 버튼sw2sw1sw1sw0sw3sw1sw0알람 on/off 기능알 람 부 분스탑워치 reset기능스탑워치기능의 시작과 ... 희 락 제어부분설정박 대 근시계부분 설정 LED부분 설정유 진 호역 할 분 담Master Select logic시계 모드Mux7 seg ment스탑 워치 모드sw1 Sw2 sw3 ... 정지스탑워치 부분시간 reset시간 자리이동시간 setting시 계 부 분스위치 동작기능구 분설계 및 구현방법각 부분의 동작원리 시계의 기능  watch  Stop watch
    리포트 | 37페이지 | 2,000원 | 등록일 2009.05.22
  • A+ 레이놀즈실험레포트
    두 번째 오차요인은 모든 실험을 정확한 수치로 잰 것이 아닌 저희가 가지고 있는 스마트폰의 스탑워치와 눈금을 통해서 온도를 측정하여 오차가 발생하게 된 부분도 있는 것 같습니다.
    리포트 | 8페이지 | 2,000원 | 등록일 2016.12.21 | 수정일 2017.12.06
  • 인하대병원 2020년 신규간호사 필기+면접 자료
    스탑워치로 시작 하고 정확히 5분 지나서 울리면 걷어가세요. 5분 안에 충분히 풀 수 있었던 것 같습니다.
    자기소개서 | 11페이지 | 4,000원 | 등록일 2019.07.27 | 수정일 2020.03.23
  • 항공 3학년 1학기 유체실험 결과레포트.hwp
    눈대중으로 한 점, 노즐로 물을 분사할 때 중력가속도에 의해 방해를 받은 점, 깃의 각도를 정확히 하지 못할 수 있는 점, 실험 각각의 적수량이 정확하지 않은 점, 시간을 측정할 때 스탑워치
    리포트 | 30페이지 | 1,000원 | 등록일 2017.10.12
  • 측정과 오차 및 전기량 측정. 논문형식. 결과보고서
    첫 번째, 본 실험에서 소요 시간 측정 수단으로 스탑 워치를 사용한다. 이는 센서 같은 민감한 측정 장비에 비해 실험자가 수동으로 버튼을 누름으로써 작동한다.
    리포트 | 3페이지 | 1,000원 | 등록일 2017.04.05 | 수정일 2019.04.16
  • 기계공학실험2 점성, 온도계측, 개수로 실험 보고서
    실제유량 측정은 스탑워치를 이용하여 노치를 통과한 물 의 유로를 물통으로 바꾸어서 물을 받아내어 측정한다.7.
    리포트 | 11페이지 | 2,000원 | 등록일 2017.05.28 | 수정일 2019.10.10
  • [ 위생 설비 실험 ] 원심펌프 성능 실험2 (2차실험)
    간접적으로 구했다.물의 밀도 / 물의 점성계수를 EES의 Curve fit을 이용하여 구하였다.3) 실험 장치원심펌프모터 (유도전동기)실험장치 개략도U 마노미터계량 수조디지털 수온계조작반스탑워치벤츄리
    리포트 | 48페이지 | 2,000원 | 등록일 2016.11.05
  • 매체에 따른 읽기속도와 텍스트속독을 위한 획기적인 편집디자인
    실험 장비 노트북 백색 A4 용지 스탑워치 ( IPhone App ‘Clock Pro’) 기사2. 실험방법 2-3. 실험설계 - 변수설정2. 실험방법 2-3. ... 실험 과정 사전 준비 사항 - 스탑워치의 사용법 ( 시간 측정법 ) 사전 연습 실험 과정 -VDT, 하드카피 에서 각각 원본 1 기사 , 편집본 3 기사를 랜덤한 순서로 읽게 하고
    리포트 | 22페이지 | 3,000원 | 등록일 2013.07.05
  • 벤츄리미터 실험
    실험 재료 및 기구⑴ 스탑워치(핸드폰)⑵ 온도계⑶ 비커⑷ 벤츄리 미터4.
    리포트 | 5페이지 | 1,000원 | 등록일 2013.11.21
  • (디지털시스템설계)VHDL Digital Stop Watch 제작 계획서
    Digital Stop Watch Design midterm presentation Main Project 조 (,,,) 계 획 서List Main Project 의 목표 2 Project 내용 설명 및 팀원별 역할 3 Main Project 의 중요성 1VHDL 작성..
    리포트 | 13페이지 | 1,000원 | 등록일 2010.12.01
  • 기업별 자율주행기술현황
    과 운전자가 스티어링 휠 조작 없이도 차량을 차선 가운데로 주행할 수 있게 해주는 조향 어시스트 기능, 차량이 완전히 멈추어 있어도 앞 차량이 출발할 경우 따라갈 수 있게 해주는 스탑 ... 운전자가 스마트 워치를 이용해 원격 발레파킹 어시스트를 활성화하면 해당 시스템이 독립적으로 작동해 단계별로 차량의 움직임을 제어하며, 그동안 운전자는 유유히 자신의 업무를 보러가면 ... 스마트 워치를 통해 다시 출발 명령을 내리면, 운전자가 주차장에 도착할 때까지의 정확한 시간을 계산해 시동을 미리 걸어둔다.특히 BMW는 전략적 파트너십을 맺은 중국 최대 인터넷 검색엔진
    자기소개서 | 8페이지 | 3,000원 | 등록일 2016.11.12
  • Verilog HDL을 이용한 디지털 시계
    타이머 기능, 스톱워치 기능, 알람기능 클럭 분주 회로 구성을 위한 기본 지식 7-Segment 출력부 구성을 위한 기본 지식 알람 기능을 위한 클럭 분주 회로 구성 기본 지식 입력 버튼 제어부 구성 부가기능(Dot-matrix 기능 및 LED 제어) Dot-matr..
    리포트 | 7페이지 | 8,000원 | 등록일 2009.07.20 | 수정일 2022.12.13
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 30일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
1:40 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감