• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(968)
  • 리포트(871)
  • 자기소개서(45)
  • 시험자료(22)
  • 방송통신대(19)
  • 논문(7)
  • 서식(2)
  • 표지/속지(1)
  • ppt테마(1)

"자판기 설계" 검색결과 181-200 / 968건

  • 논리회로설계실습-FSM-예비보고서
    무어머신을 이용하여 주어진 상태 다이아그램을 사용하여 자판기설계해 본다.소스코드테스트 벤치 코드Wave form출처위키백과‘플립플롭’ Retrieved May 19, 2017, ... 이 후 무어 머신을 사용하여 커피 자판기 작동을 VHDL로 코드를 작성해 본 후 테스트 벤치 코드를 사용하여 소스코드가 정상적으로 작동하는지 확인해 본다.예비 이론FSM (Finite ... =50376>‘채터링 방지 회로’, Retrieved May 19, 2017, from< http://hiems-nguis.tistory.com/6>고찰주어진 무어 머신을 이용한 자판기
    리포트 | 6페이지 | 1,000원 | 등록일 2018.01.10
  • 순차회로 설계 - FSM 결과보고서
    무어 머신을 이용한 커피 자판기 설계(1) 개요- 스위치1 : 100원, 스위치2 : 50원- 현재 state : LED 출력S1 - 1개, S2 - 3개, S3 - 5개, S4 - ... bounce 현상이 일어나는 것(chattering)에 대한 원인을 파악하고 그 해결방법을 익힌다.- 배운 내용을 바탕으로 chattering 현상을 고려하여 실생활에 활용 가능한 커피 자판기를 ... 모듈(A9_HSY_KSH_sw) 3개를 Moore machine에 input값(sw(2:0))으로 입력하여 RoV Lab3000의 LED로 상태에 따른 결과값을 출력하도록 하는 커피자판기
    리포트 | 7페이지 | 1,000원 | 등록일 2014.07.25
  • ModelSim - Vending machine
    이번 기말 프로젝트에서 처음에는 팀원 모두가 야구 팬이라서 야구 카운터 설계를 주제로 잡았다가, 생각보다 많은 상태 때문에 자판기 설계로 주제를 바꾸게 되었다. ... 평소 자주 사용하던 자판기의 원리를 궁금하던 차에 직접 구현해 보기로 하였다.변수INPUTclk클락nRESET초기값을 s0=4’h0로 설정하기 위한 변수choice음료수를 선택(1) ... 하거나 선택하지 않음(0)coin동전을 넣은 금액 -> 0원, 50원, 100원OUTPUTdrink자판기에서 음료수가 나온 경우(1)이거나 나오지 않은 경우(0)change음료수를
    리포트 | 13페이지 | 1,000원 | 등록일 2018.01.29
  • 결과보고서 #10 - 순차회로 설계 (FSM)
    실험 목표순차회로의 일종인 FSM의 일종인 밀리머신과 무어머신의 개념을 이해하고 이를 이용해 실생활에서 쓰이는 자판기를 VHDL 코드를 이용하여 설계해볼 수 있다.2. ... 아래 상태도와 같은 자판기 알고리즘을 VHDL을 이용해 설계한다.(1) 소스코드library IEEE;use IEEE.STD_LOGIC_1164.ALL;entity A4_KYK_KYS ... 과 목 : 논리회로설계실험과 제 명 : #10 순차회로 설계 (결과)담당교수 : 국태용 교수님담당조교 : 김태경 이희준 조교님학 과 : 전자전기공학과학 년 : 3반 & 조 : A반
    리포트 | 6페이지 | 2,000원 | 등록일 2016.05.13 | 수정일 2021.07.28
  • (예) 9. 연필자판기
    즉, 새로운 자판기의 조합 논리 부분을 설계하고 출력으로 LED를 사용하여 동작 확인을 위해 설계를 테스트 하는 것이다. ... 부울 대수곱들의 합(SOP)으로부터 쉽게 그림의 모델-1 연필 자판기를 구현 할 수 있다.? 실험 순서새로운 모델-2 연필자판기에 대한 논리를 설계하고 테스트 해야 한다. ... 그런 후에 설계와 테스트 결과를 요약하여 보고서를 작성해야 한다.문제는 다음과 같다.문제 설명 : 새 모델-2 연필 자판기는 물가 상승으로 인해 15센트에 연필을 판매한다.자판기
    리포트 | 6페이지 | 1,000원 | 등록일 2015.12.11
  • PLC 자판기
    배선 및 입·출력 설정-입력 : P0 ~ P6-출력 : P7 ~ P13 출력▷ 백원, 오백원, 천원은 자판기에 투입되는 금액의 입력▷ 오백원 버튼, 천원 버튼은 상품을 뽑기 위한 버튼의 ... 설계목적- 큐블럭 ladder를 활용하여 생활에서 볼 수 있는 제품을 구현한다. 더 나아가 공장 에서 사용되는 자동화프로그램을 구현할 수 있다.그림 1 자동판매기※자동판매기란?
    리포트 | 7페이지 | 5,500원 | 등록일 2017.01.01 | 수정일 2021.02.10
  • 설계명세서(소프트웨어 공학)
    2015-1 Software Engineering설계 명세서네트워크 관리 시스템(자판기)목 차 (Title page and table of contents)1장. ... 사용자 인터페이스 설계 (User Interface Design)1.관리자 로그인 창2.세부메뉴 및 차트3.복수의 관리자 아이디 등록 관리4.날짜 검색 및 기기(자판기) 선택5. ... 모듈 설계 (Module Design)모듈 번호A제목데이터 갱신 요청내용데이터 갱신 요청이 들어오면 갱신 요청데이터를 통해 음료수 정보 요청(A.1)인지 자판기 정보 요청(A.2)인지
    리포트 | 43페이지 | 3,000원 | 등록일 2016.10.12 | 수정일 2023.09.23
  • vending machine(자판기)
    FSM을 이용하여 설계했습니다.코드를 작성할 때, 그 어떤 인터넷 사이트나 책을 참고하지 않고 처음부터 끝까지 직접 타이핑했습니다.자판기의 특성상, 사용자의 조작에 의해 자판기가 작동되어야 ... )입니다.실제 자판기와 유사하게 작동되도록 구현했습니다. ... 보고서 형식의 한글 파일과 ppt파일 그리고 프로그램 소스가 함께 포함되어있습니다.quartus를 이용하여 verilog HDL code로 작성한 vending machine(자판기
    리포트 | 13페이지 | 3,500원 | 등록일 2014.06.28 | 수정일 2014.07.03
  • 자판기(자동판매기) verilog
    ...................................42설계목표2.1 자판기 설계 목표............................................... ... 디지털회로설계 및 언어 결과보고서Vending Machine목차1연구배경1.1 자판기 사전적 의미............................................... ... ...........................................42.2 자판기 설계 조건............................................
    리포트 | 26페이지 | 10,000원 | 등록일 2009.12.26 | 수정일 2020.08.28
  • 인간공학적 측면에서 불편한 제품에 관한 고찰
    음료 자판기뿐만 아니라 대부분의 자판기도 위 와 같이 설계되어 있다. ... 영향을 미칠 여지가 적다"며 "요즘 스마트폰은 이름에만 '폰'이라는 말이 들어가 있을 뿐 사실상 컴퓨터 또는 멀티미디어 기기이기 때문"이라고 강조했다.(2)음료 자판기음료자판기는 ... 기기내부 작동원리를 고려한 설계이겠지만 좀 더 세심한 주의여 사용자들의 신장을 고려한 최적의 설계가 요구된다.→ 돈을 투입하는곳과 거스름돈과 음료를 받는 구멍의 위치가 너무 차이가
    리포트 | 5페이지 | 1,000원 | 등록일 2016.05.24
  • 논리회로 실험 및 설계
    논리회로 실험 및 설계1. 설계 목적 : 논리회로 이론을 기초로 하여 자판기를 창의적인 방법으로 설계한다.2. ... 발표 당시 물 양이 많아지면 커피 판매 시간이 길어지도록 설계했는데, 카운터에서 제어기 쪽으로 입력하는 도중 오류가 있었던 것인지 판매시간이 설계한 내용과 맞게 작동하지 않았다. ... 마지막에 시간여유가 있어서 물 양 제어기와 최대 금액을 700원으로 늘리는 추가 설계를 했다. 발표 전에는 성공적이었지만, 막상 발표할 때 제대로 작동되지 않아 아쉬웠다.
    리포트 | 4페이지 | 1,000원 | 등록일 2009.10.15
  • 자판기 결과 보고서
    제작한다.실험 설계 주제자판기 회로 설계 • 제작제품 사양100원 및 500원 동전만 사용 가능하며, 최대 500원까지 투입할 수 있다. ... 결과 보고서주제: 자판기 회로 설계 및 제작결과 보고서 목차실험 설계 목적실험 설계 주제제품 사양설계 일정 및 변경 과정1차2차3차상태도 도출입력 변수와 상태 변수, 출력 변수 설정진리표 ... 좀 아쉬웠던 점은 회로 설계에 대한 부족하고 1차 설계와 2차 설계를 할 때, 실수한 부분이 많아 져서 기본에 충실하고자 하여 추가기능에 대한 부분을 설계를 안 했다는 점과 회로 설계
    리포트 | 14페이지 | 2,000원 | 등록일 2009.07.14
  • 커피 자판기 Term-Project
    목적한 학기 동안 배운 논리 소자, 플립플롭, FDN, 카운터 등을 모두 사용하여 주변에서 흔히 볼 수 있는 간단한 커피 자판기 회로를 설계하고 동작을 확인한다.2. ... 출력식을 구하고 간소화시킨다.⑤ 입력식과 출력식을 이용하여 자판기 회로를 설계한다.⑥ 단발펄스 회로를 구성한다.⑦ FND 연결부분의 회로를 구성한다.⑧ 모든 회로들을 연결하여 결과를 ... 3차 Term-Project- 커피 자판기 -12조목차1. 실험 목적 ……………………………………………………………………………………………2.
    리포트 | 17페이지 | 2,000원 | 등록일 2013.12.03
  • 효율적 타자
    설문조사 및 통계내기가. 설문조사를 통해 알아내고자 한 것1. 스마트폰의 기종 별 사용하는 자판의 종류2. 선호하는 자판의 종류와 그 이유3. ... 쿼티 자판이 컴퓨터와 비슷해 위치를 파악하기 쉽고 눈에 가장 익으며, 음절 단위로 의사소통을 하는 현대인의 말투에 최적화되어 있다는 것이라고 본다.또한 천지인 자판자판의 크기가 ... 따라서 최근에는 다양한 형태의 글자판 설계가 가능해진 스마트폰에 특화된 글자판 설계에 대한 연구도 많이 이루어지고 있다.
    리포트 | 14페이지 | 2,000원 | 등록일 2019.06.29
  • 전전컴설계실험2-9주차결과
    마지막으로 Lab 3에서는 기본적인 자판기 회로를 설계하였다. ... 그리고 거스름돈을 Output으로 설정하여 자판기설계하였다. ... 검색된 FPGA 모듈에 자판기동작회로Logic이 설계된 bit 파일을 프로그래밍한다.12.
    리포트 | 18페이지 | 1,500원 | 등록일 2014.03.28 | 수정일 2014.04.15
  • 순차회로 설계 - FSM 예비보고서
    Moore machine을 이용한 커피 자판기 설계(1) Moore machine diagram(2) State Table- 위 테이블에서 같은 출력 State끼리 모아서 State ... bounce 현상이 일어나는 것(chattering)에 대한 원인을 파악하고 그 해결방법을 익힌다.- 배운 내용을 바탕으로 chattering 현상을 고려하여 실생활에 활용 가능한 커피 자판기를 ... 디지털 하드웨어를 설계할 때 제어신호를 생성하는 제어기는 FSM으로 규정되며, FSM에 대한 동작 표현은 state diagram또는 ASM(Algorithm State Machine
    리포트 | 7페이지 | 1,000원 | 등록일 2014.07.25
  • [디지털회로]VHDL을 통한 자판기 구현 PPT
    VHDL을 통한 자판기 구현그림 1 은 자판기(vending machine)의 블록도이다. ... 자판기(vending machine)1 : 100원짜리 동전 5 : 500원짜리 동전 4 : reset C : 반환 D : 커피 / E : 우유 F : 주스그림2그림 3 는 자판기( ... 3 자판기(vending machine) key 입력 상태도3 VHDL 코드 설계library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all
    리포트 | 18페이지 | 3,000원 | 등록일 2008.12.15
  • 자판기
    과제 개요100원과 500원짜리 동전만 받아서, 1500원 짜리 물건을 출력하고 거스름돈을 출력하는 자판기 설계2. ... “거스름돈 출력하는 자판기 시스템 설계 ” 과제에 대한최종보고서를 첨부와 같이 제출합니다.2011 년 6 월 21 일첨부 : 과제 최종보고서확인 : 담당교수 (인)? ... 과제 최종보고서과제명100원과 500원 짜리 동전만을 받아서 1500원 짜리물건을 뽑고 거슴름돈을 반환하는 자판기 설계.팀번호지도교수공동연구원(본인을 제외한 나머지 팀원)이 름학 번전화번호E-mail
    리포트 | 7페이지 | 1,000원 | 등록일 2011.06.28
  • 리포트프로젝트 ) 2 개 콘텐츠설계 (CONTENT DESIGN) 분석, 1. Coca-Cola ’Make It Happy‘ 2. Coca-Cola = AMAZING Event in South Korea
    광고 속에서 이벤트에 참여하는 사람들은 자판기의 화면이 나오면(자극) 그것을 따라 하고(반응) 콜라를 받는다(반응에 따른 보상). ... 이를 반복적으로 지켜본 사람들은 자판기의 화면을 따라 하면 콜라를 받을 수 있다. ‘라는 반응이 강화되어 너도나도 이벤트에 참여한다. ... 아이돌 그룹이 화면에 등장하는 자판기에 10대와 20대들이 관심을 끌었고 이벤트에 참여한 10대와 20대의 활동적인 모습을 광고에 그대로 담아내었다.
    리포트 | 5페이지 | 4,800원 | 등록일 2019.01.14 | 수정일 2019.01.21
  • 자판기시스템(최종본)
    자판기 시스템설계목표 관련이론 설계절차 회로도 시뮬레이션 문제점 및 보완사항 예상소요부품 목차돈 투입 버튼 부의 100 원 , 500 원 버튼을 통하여 원하는 금액을 투입한다 . ... 설계목표1. 10 진수 가산기 관련이론 BCD 코드는 16 진수 이기 때문에 합이 10 이상이면 6 을 더함으로서 0~9 까지의 값을 출력하도록 할 수 있다 .관련이론 2. ... 전체적인 논리회로 구성 설계절차회 로 도 데이터 화 LED( 구입가능 ) 제품 (600) 합 입력합 10 진수 변환 감산기 최종 출력Multisim 프로그램을 이용한 시뮬레이션 결과
    리포트 | 12페이지 | 2,000원 | 등록일 2011.11.13
  • 레이어 팝업
  • 프레시홍 - 특가
  • 프레시홍 - 특가
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 07월 18일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
11:20 오전
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기