• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(544)
  • 리포트(524)
  • 시험자료(9)
  • 논문(4)
  • 자기소개서(4)
  • 방송통신대(3)

"10진카운터 설계" 검색결과 181-200 / 544건

  • 논리회로실험_예비6
    따라서 정보가 순환하면서 유지되고 이것을 순환시프트레지스터라고 하고 링카운터로 사용한다.(링카운터는 한 비트가 레지스터를 순환하는 시프트레지스터 카운터로 볼 수 있다.) ... 첫 값을 1로 셋한다면 그 정보가 다음, 다음으로 계속 옮겨갈 것 이고 이로서 n자리 링카운터는 n가지의 상태를 카운트 할 수 있는 것이다. ... (1)시프트레지스터로 만들어진 링카운터의 구조와 동작원리에 대해 조사하라. 시프트 레지스터의 마지막에 나온 데이터가 다시 첫 번째의 입력으로 들어가게 되는 구조다.
    리포트 | 10페이지 | 2,000원 | 등록일 2012.07.13
  • 디지털회로실험 전자주사위 텀프로젝트 (회로도 및 설명, 사진 첨부)
    이와 같은 출력의 결과가 나오는 이유는 앞에서 본 6진 카운터 회로에서 4와 7이 제외되어 카운팅 되므로 디코더 회로에서도 4,와7은 제외시키며 6진 카운터이므로 8, 9, 10번째 ... 원래는 2진 카운터와 6진 카운터에 의해 12진 카운터로 동작하는 소자이지만 1,12번 단자를 연결해줌으로써 6진 카운터로써 동작하게 만들었다. ... 회로로써 2진 카운터와 6진 카운터로 구성되어있는 74LS92를 이용한 회로이다.
    리포트 | 8페이지 | 2,000원 | 등록일 2015.01.27
  • 디지털 회로
    카운터 회로라고 한다.- 조합논리회로는 현재 입력만을 통해서 출력이 결정되지만 순차논리회로는 이전에 입력되었던 값에 의해서도 영향을 받는다.- 구성상 피드백 경로가 있고 메모리가 있다 ... 하나를 선택하여 한 곳으로 출력시키는 회로- 디멀티플렉서 : 1개의 입력선으로 들어오는 정보를 2^n개의 출력선 중에서 하나를 선택하여 출력시키는 회로, 멀티플렉서의 반대기능(4) 설계 ... 2진수를 덧셈한 합과 앞자리 올림수를 구하는 회로- 병렬가산기 : 여러 자리 2진수를 더하기 위한 연산회로, n Bit 덧셈을 위해 n개의 전가산기가 필요- 디코더 : 코드화된 2진
    리포트 | 4페이지 | 5,000원 | 등록일 2018.07.14
  • 08 논리회로설계실험 예비보고서(카운터)
    카운터 출력 중 오직 한 출력만 1이 발생하므로 카운터 값을 디코딩하지 않아도 10진 변환이 가능하다.(3) 존슨카운터존슨카운터는 동일한 수의 플립플롭을 가지고 링카운터의 2배의 출력을 ... 결정된다.카운트 된 값을 나타내는 2진 비트 수만큼의 플립플롭들과 게이트들로 구성된다.동작 방식에 따라서 비동기식 카운터와 동기식 카운터로 나뉜다.- 비동기식 카운터(asynchronous ... 논리회로설계 실험 예비보고서 #8실험 8. 카운터 설계1. 실험 목표카운터의 개념과 종류에 대해 학습하고, VHDL을 이용하여 각 카운터설계한다.2.
    리포트 | 9페이지 | 2,000원 | 등록일 2014.09.27 | 수정일 2016.03.26
  • 실험19 카운터 회로 예비보고서
    카운터에서 한 계수 사이클 동안에 계수입력에 들어온 clock pulse 수를 modulus라 하며, modulus가 n인 계수기를 mod-n 카운터라 한다.은 비동기식 10진 계수기의 ... 한 예를 보여준다.4개의 플립플롭을 직렬로 연결하여 모두 16개의 이진수를 나타낼 수 있는데 NAND게이트를 사용하여 10진 계수기화 한다. 10번째 클럭펄스가 들어오면 원래 출력이 ... 그러나 감소 2진 카운터 sequence를 통하여 진행하는 카운터도 필요할 때가 있다.
    리포트 | 9페이지 | 1,000원 | 등록일 2014.09.29 | 수정일 2018.10.15
  • 아주대 논리회로실험 프로젝트 FPGA로 Stop Watch 만들기
    기본 clock을 7490을 변형한 5진 카운터 하나와 10카운터 7개를 사용하여 1KHz 및 10Hz clock으로 바꾸어 주는 기능을 수행하며 또한 카운터는 clock 입력에 ... 사용하였고, 10초 단위, 1분 단위, 10분 단위는 5까지만 표현이 되어야 하므로 7490을 변형하여 6진 카운터로서 동작을 하게 하였다.※ 회로에서 JK F/F 의 역할: JK ... 최종 설계도회로의 맨 위는 50MHz 클락을 10Hz로 바꾸어 준 뒤 아래로 내려가며 0.1초 1초 10초 1분 10분의.
    리포트 | 15페이지 | 5,000원 | 등록일 2015.03.12
  • [디지털회로실험] 중간 프로젝트(Mid-Term Project) 가위바위보 게임 제작
    분주기☞ 분주기는 오실레이터에서 나오는 1MHz의 주파수를 10Hz까지 낮추는 과정인데, 10카운터TTL(7490)을 사용했다. ... 1500741634비트 카운터2502500총계10,490■ 보완점 ... CLR와 CLK 입력에 넣어주어 카운터를 reset시키는 동작을 하도록 설계했다.
    리포트 | 11페이지 | 4,000원 | 등록일 2014.10.21
  • [Flowrian] Decade Counter (TTL 7490) 회로의 Verilog 설계 및 검증
    - 본 회로는 2개의 카운터 - 2진 카운터와 5진 카운터 - 를 포함하고 있어 이들의 외부 단자들을 서로 연결시켜 10카운터를 구현할 수 있다. ... 혹은 개별적으로 2진 카운터와 5진 카운터를 따로 구현하여 사용할 수도 있다. ... 오른쪽의 2개 JK 플립플롭과 하나의 RS 플립플롭은 클럭 단자 CKB의 하강에지에 동기되어 5진 카운터로 동작된다.
    리포트 | 10페이지 | 1,000원 | 등록일 2012.05.16
  • [Flowrian] 60 to 1 Frequency Divider (TTL 7457)의 Verilog 설계 및 시뮬레이션 검증
    TTL 7457 회로에는 6진 카운터와 5진 카운터와 2진 카운터가 포함되어 있다. 6진 카운터는 독립된 회로로 구현되어 있지만 5진 카운터의 출력은 2진 카운터로 연결되어 10진 ... 3. 5진 카운터의 Verilog 설계 및 시뮬레이션 검증 - 동작 사양5진 카운터는 입력된 클럭을 5대 1로 분주하는 회로이다.리셋 단자 rst는 Active High 로 ... 카운터의 동작을 구현한다.TTL 7457 회로는 6진 카운터10카운터가 따로 사용될 수도 있고, 단자 qa를 단자 clkb에 연결하여 하나의 60진 카운터로 사용할 수 있다.
    리포트 | 16페이지 | 1,000원 | 등록일 2014.04.26 | 수정일 2014.05.16
  • Up/Down 카운터 예비보고서
    회로도시뮬 결과시뮬 분석실험1번 의 경우 Q바의 값이 다음 플립플롭의 클럭으로 들어가는 다운 카운터의 회로를 설계해 보는 것이다. ... 확인할수 있다.(2) 다음 회로를 구성하라회로도시뮬 결과시뮬 분석실험2번 의 경우 X입력 값에 따라서 다운카운터터/업카운터 로 변화하는 회로를 설계하는 것이다. ... 위의 결과를 살펴보면은 X의 값이 0일때에 다운카운터로의 회로가 동작을 하게되어서 11→10→01→00으로 감소되는 것을 볼수 있으며, X의 값이 1일때는 업카운터로의 회로가 동작을
    리포트 | 4페이지 | 1,000원 | 등록일 2014.06.03
  • 디지털실험 13예비 비동기 계수기
    비동기식 10진 계수기표 13-1. ... . 4개의 플립플롭을 직렬로 연결하여 모두 16개의 이진수를 나타낼 수 있는데 NAND 게이트를 사용하여 10진 계수기화한다. 10번째 클럭펄스가 들어오면 원해 출력이 Q4~Q1=1010이 ... 비동기식 10진 계수기의 상태표CLKQ4Q3Q2Q*************0103001140100501016011070111810009100110`1010100000110001위와 같은
    리포트 | 10페이지 | 1,000원 | 등록일 2014.09.30 | 수정일 2014.11.11
  • 순서논리회로 1 플립플롭 결과보고서
    - 비동기2진카운터, 비동기식10진카운터, 동기식2진카운터, 직병렬계수기 등 전자공업의 기초가 되는 부분입니다. ... 그러한 플립플롭을 책에 나와있는대로 설계하여보고 또 그 결과값을 이론값과 비교하는 실험 이었습니다. ... 결과 값이 모두 일치 하는 결과 를 이끌어 내었습니다.이번 실험은 이론상으로만 알고있던 플립플롭 회로가 실제로 왜 필요해야 하는지 등의 이유를 알게 되었습니다.또한 이번 회로를 설계하며
    리포트 | 2페이지 | 1,500원 | 등록일 2014.11.28
  • 스톱워치 구현 보고서
    결론 및 분석① 실험과정먼저 10카운터설계하기 위한 10카운터의 진리표와 상태도, 상태표는 다음과 같다.위의 상태표를 이용하여 논리식을 간소화해 보면 다음과 같다.위의 간소화된 ... 이 동작에서 CK에 두 번의 클록이 인가될 때 Q신호는 한 번의 클록이 나타나므로, Q는 CK 주파수의 절반이 된다.2) 10카운터10카운터10개의 상태 카운터를 가진다. ... 교재 10장의 실험 내용이 비동기식 카운터였기 때문에 비동기식 카운터설계하는 것이 더 편리했기 때문이다. 2차 Term Project를 진행했던 날이 10장의 비동기식 카운터 실험을
    리포트 | 13페이지 | 2,000원 | 등록일 2013.12.03
  • 사거리신호등 디지털회로설계 프로젝트
    연구내용2.1 개념도2.2 설계 주요내용타이머 설계타이머에 나온신호를 10진카운터에 입력세그먼트에서 AND게이트로 신호를 묶어준다인버트를 통해 받은신호를 OR게이트로 묶어준다신호등 ... 신호등에서 사용되는 카운터와 세그먼트, 타이머 등에 대하여 더 자세하게 알 수 있는 기회가 된다. ... 여러 유형의 신호등 중 사거리의 신호등을 살펴봄으로써 신호등의 불이 어떻게 들어오는지, 신호등을 제어하려면 어떤 설계를 해야 하는지 알아보기 위하여 설계과제를 수행하였다.
    리포트 | 4페이지 | 3,000원 | 등록일 2014.12.21
  • VHDL을 이용한 digital watch 설계
    그렇게 1초생성기, 2x1Mux, 12진카운터, 60진카운터, FND 부분이랑 2x1Mux가 계층구조로 설계되어있어서 그하위디자인인 And, Or, Not게이트파일도 추가한 모습이다 ... 전달한다. 0부터 59까지 카운트한다.ⓒ 12진카운터60진카운터와 같은방식이다. ... 디지털 시계는 1초, 1초를 만들어 주는 1초 생성기와 그 1초를 60번세는 60진카운터로 초와 분을 세고 12번세는 12진카운터로 시간을 센다.
    리포트 | 19페이지 | 2,000원 | 등록일 2014.05.31 | 수정일 2014.06.02
  • 디지털실험 15예비 up/down counter
    과정이 출력되는 카운터가 요구되며 2진 카운터가 일반적으로 사용된다. ... 그러나, 감소 2진 카운터 sequence를 통하여 진행하는 카운터도 필요할 때가 있다. ... 실험 2번이 이미 컨트롤 입력에 따라 가산/감산 카운터를 스위칭 할 수 있는 회로이므로 다시 설계는 하지 않는다.
    리포트 | 8페이지 | 1,000원 | 등록일 2014.09.30
  • VHDL을 이용하여 다양한 state machine 설계
    평상시인 IDLE상태로 대기하다가 스위치신호가 들어오면 각 상태인 10진카운터 또는16진 카운터로 상태가 변하여 카운트를 마치면 대기상태인 IDLE상태로 되돌아온다.4) Stepping ... 뜻으로 10진 숫자를 2진코드로 표현하는 한 가지 방법이다. ... 58줄까지는 순차논리, 출력으로 연결하는 59줄과 카운트되는 cnt의 42, 51줄등은 조합논리라 할수있다.② RTL viewer③ 시뮬레이션 결과IDLE상태에서 A신호가 들어오면 10진카운터
    리포트 | 17페이지 | 2,000원 | 등록일 2014.05.31 | 수정일 2014.06.02
  • [VerilogHDL] 4bit 2진 덧셈기 설계(vfd 제어)
    _10jin_counter always문이 0000부터 1001까지의 값을 출력하는 10카운터 동작을 수행한다. ... ■ 설계개요▪ HBE-COMBO 트레이닝 키트 내에 0에서 F까지의 보드를 사용하여 입력된 2개의 4비트 데이터의 덧셈을 수행한다.▪ 2개의 4비트 데이터 키입력에 대한 결과 값이 ... Digit Decimal Adder (김정수)"이라는 문구가 좌에서 우로 이동하면서 디스플레이 된다.▪ 9와 F키패드 눌렀을 때의 결과를 Simulation을 이용하여 확인한다. ■ 개념설계
    리포트 | 1페이지 | 1,000원 | 등록일 2015.08.02
  • 디지털회로응용설계(자동차 과속 경보장치 설계)
    4개의 10카운터를 이용하여 최대 9999까지 계수할 수 있도록 설계한다.? 10카운터 74F160을 이용하여 9999까지 계수할 수 있도록 설계카운터 회로이다.? ... 회로에는 계수회로가 들어있어서 10카운터를 4개를 사용하여 최대 999까지 계수하도록 설계하였다. ... 이론a. 4개의 74F175를 이용하여 10카운터 74160의 계수 결과를 저장할 수 있도록 설계한다.b.
    리포트 | 22페이지 | 3,800원 | 등록일 2014.01.03 | 수정일 2014.11.05
  • 설계04. AD DA 컨버터 응용 전기회로 설계 (예비레포트)
    그래서 책과 여러 매체를 통해 7490에 대해 알아보았다. 7490은 10진카운터용 IC이다. ... 따라서 6진,8진,9진카운터 모두 따로 AND gate가 필요 없다. ... 따라서 외부에 AND gate를 사용할 필요 없이 만들 수도 있다. 5진 카운터의 경우는 Q3,Q2,Q1,Q0 에서 Q2,와 Q0를 각각 2,3번에 연결하면 5진 카운터가 된다.
    리포트 | 5페이지 | 8,000원 | 등록일 2014.06.15 | 수정일 2020.04.26
AI 챗봇
2024년 09월 02일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
3:49 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대