• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(544)
  • 리포트(524)
  • 시험자료(9)
  • 논문(4)
  • 자기소개서(4)
  • 방송통신대(3)

"10진카운터 설계" 검색결과 201-220 / 544건

  • 전자공학과 디지털 회로 및 실험설계 텀프로젝트 간이 전압계입니다.
    대표적인 것으로는 10진수를 2진수로 변환시키는 10진2진인코더, 10진수를 2진화10진 코드(BCD code)로 변환시키는 10진-BCD인코더 등이 잘 알려져 있다.5-2 동작원리동작 ... 제작 동기디지털회로 실험 및 설계 강의를 들으면서 우리가 배웠던 논리게이트, 여러 가지 플립플롭, 멀티플렉서, 디멀티플렉서, FND, 카운터 등을 사용하여 만들 수 있는 작품을 찾다가 ... 디지털회로 실험 및 설계Term Project 최종 보고서학 과 : 전자공학과과 목 : 디지털회로 실험 및 설계팀 원 :담당교수 :보고서 제출일 : 2015년 6 월 7 일목차1.
    리포트 | 9페이지 | 2,000원 | 등록일 2016.04.06 | 수정일 2017.05.23
  • 아주대 논리회로실험 실험결과6 시프트레지스터와 카운터 (Shift Register & Counter)
    동기식 Up 카운터설계하라.SimulationComent : 이번 실험은 10진 동기식 Up 카운터 실험으로 이 실험 역시 실제 실험 진행은 하지 않고 시뮬레이션을 통한 개념정리를 ... 우선 바로 전 실험과 같은 10카운터인데 다른점은 동기식이라는 점이다. 10카운터에서 동기식과 비동기식을 차이는 안정성에 있다 동기식은 일정한 클락이 들어가므로 안정적이지만 ... 카운터 실험으로 시프트 레지스터와 링카운터의 개념을 익히고, 동기식과 비동기식의 차이를 알며, 2진카운터10진카운터의 동작과 회로 구성에 대해서도 직접 회로를 구성하여 알아보는
    리포트 | 10페이지 | 1,500원 | 등록일 2014.10.04 | 수정일 2017.08.03
  • VHDL스탑와치 설계
    count10 = count10 + 1; end if; end if; end if; end process; dec10 = dis_seg(count10); --10진카운터를 7-segment로 ... 변환 -- 6진 카운터 process(clk,clear) begin if clear = '1' thenⅢ. ... Stop Watch 설계 최종보고2013. 12.09 정보통신공학부 10조 2011213730 윤성환 2005211757 황대희 2011213731 홍진호Project 설명 Stop
    리포트 | 9페이지 | 1,000원 | 등록일 2014.12.01
  • 디지털공학 24진카운터 설계
    설계 제안서 < 3조 >이름(학번)명 제 : 24진 카운터내용설계목적① 비동기식 및 동기식 n진 카운터를 이용하여 FF의 사용방법을 알아본다.② 카운터의 구성 및 동작원리를 이해한다 ... - 24진 카운터목적① 비동기식 및 동기식 n진 카운터를 이용하여 FF의 사용방법을 알아본다.② 카운터의 구성 및 동작원리를 이해한다.③ 카운터설계를 통하여 응용방법을 알아본다.설계 ... .③ 카운터설계를 통하여 응용방법을 알아본다.유의할 점① 소자 및 FF를 설계전 확실히 파악하여 부품의 최소화를 이끌어낸다.② 설계시 납땜 작업중 안전에 유의하여 설계에 임한다.③
    리포트 | 5페이지 | 1,000원 | 등록일 2014.02.02
  • [컴퓨터공학기초설계및실험1 예비레포트] 비동기 계수회로
    더 이상 클럭펄스를 세지 않고 출력의 상태를 그대로 유지한다.비동기 10진 계수회로10진 계수회로는 계수기 중에서 가장 많이 사용된다. 4개의 플립플롭을 직렬로 연결하면 일반적으로 ... Ciletti/디지털 디자인/PearsonEducationKorea/2012.12.10비동기식 카운터/http://blog.naver.com/dbskffl? ... 컴퓨터 공학 기초 설계 및 실험1예비보고서실험제목:비동기 계수회로 (예비)예비보고서제목 및 목적제목비동기 계수회로(Asynchronous Counter)목적비동기 계수회로의 원리를
    리포트 | 3페이지 | 1,000원 | 등록일 2015.03.16
  • 논리회로설계실험 프로젝트 라인트레이서
    카운터와 동기식 카운터로 나뉜다.이번 설계에서는 동기식 카운터를 사용한다.- 비동기식 카운터(asynchronous counter)공통 클록을 사용하지 않기 때문에, 플립플롭들의 ... 모듈 플립플롭들의 수와 연결 방식에 따라, 카운트 할 수 있는 최대값이 결정된다.카운트 된 값을 나타내는 2진 비트 수만큼의 플립플롭들과 게이트들로 구성된다.동작 방식에 따라서 비동기식 ... 논리회로설계 프로젝트 설계 보고서1.
    리포트 | 13페이지 | 2,000원 | 등록일 2015.04.17
  • [컴퓨터 전공][과목 : 디지털 논리 회로 설계 및 실습][내용 : 디지털 시계]
    맵실제 설계한 12진 카운터제작에 있어 사용된 소요 부품7 세그먼트 (FND) 10개DM74LS47 (BCD to 7 세그먼트 디코더) 10개DM74LS90 (Binary Counters ... 하지만 이 회로에서는 60진 카운터를 사용하지 않고 6진 카운터10카운터를 이용해서 초와 분을 나타내고 12카운터로 시를 표현한다. ... 등이 필요하다. modulo-N 카운터의 종류는 6진 카운터, 10카운터, 12진 카운터가 필요하다.시간을 계산하기 위해서 시계의 가장 기본적인 단위인 1초를 회로에서 얻을 수
    리포트 | 11페이지 | 1,500원 | 등록일 2013.06.11
  • 아주대 논회실 실험8 결과보고서
    두 번째 실험에서 첫 번째 실험과는 다른점은 동기식 카운터이기 때문에 전달지연이 없으므로 빠르다는 장점이 있지만, 회로 설계가 복잡하다는 경향이 있다. 2단3진 카운터 이기 때문에, ... 카운터를 만드는 실험이었다. 2단2진 카운터란, 플립플롭이 2개로, 2진수 표현을 하므로2 ^{2}=4개의 표현을 한다. ... 74HC90과 74HC47, 7-segment 이용하여, 0~9까지 BCD 카운터설계하기.0~9까지 7 segement를 사용하여 표현한 결과값.클럭주파수를 1HZ로 두었기 때문에
    리포트 | 4페이지 | 1,500원 | 등록일 2014.03.10 | 수정일 2014.03.21
  • 동기식카운터 비동기식카운터(실험 15, 16번)
    동기식 카운터설계할 땐 모든 플립플롭이 동시에 인가된 쿨럭펄스와 동기를 일루어 상태를 바꿔야한다. ... 01001downarrow (12)00111downarrow (13)00101downarrow (14)00011downarrow (15)00001downarrow (16)1111비동진 10진 ... )cPresettable Up/Down 10진 Counter 회로구분데이터 입력CK7-segmentENU / DLOADDCBA000000000010000downarrow (1)10010000downarrow
    리포트 | 4페이지 | 1,000원 | 등록일 2014.10.11
  • 냉방,난방시스템 디지털회로설계
    거의 모든 카운터나 Clock, 플립플롭 회로, 시분할, 실시간 처리기등 다 사용되어 집니다.74ls192- 10진 업다운 카운터로 비동기 프리셋과 리셋을 가진 mod-10 up/down ... 연구내용2.1 개념도2.2 설계 주요내용① NE555타이머를 이용해서 클럭을 발생시켜서 업/다운 카운터를 실행시킨다.② 7-segment에 연결해서 값을 출력 되게한다.③ 디코더를 ... 동기형 카운터74LS42- BCD to Decimal Decoder로 10진수를 표현하는 네자리 2진수-BCD(0000~1001)에해당하는 신호를 출력74LS47- 7-segment를
    리포트 | 5페이지 | 3,000원 | 등록일 2014.12.21
  • 비동기 계수기 예비보고서
    실험 제목 비동기 계수기실험 목적[1] 비동기식 카운터의 구조와 동작원리를 이해한다.[2] 임의의 Mod를 갖는 카운터설계방법을 익힌다.관련이론카운터는 단순히 입력펄스의 숫자를 ... 비동기식 10진 계수기CLKQ4Q3Q2Q*************0103001140100501016011070111810009100110`1010100000110001 비동기식 10진 ... 사이클 동안에 계수입력에 들어온 clock pulse의 수를 modulus라 하며, modulus가 n인 계수기를 mod-n 카운터라 한다.은 비동기식 10진 계수기의 한 예를 보여준다
    리포트 | 7페이지 | 1,000원 | 등록일 2014.06.03
  • 아주대 논리회로실험 실험결과7 복호기와 부호기 (Decoder & Encoder)
    주파수 발생기의 출력을 10KHz로 하고 각 10진 출력을 연결하여 10진 0, 5, 7 출력 파형을 아래와 같이 그린다.실험 4. ... 디코더와 인코더 결과보고서● 실험 결과 분석실험 1. 2단 2진 카운터 (시뮬레이션 대체)그림 2의 회로를 구성하여 그림 3에 다음의 파형을 그리시오.1) 출력 A의 파형2) 출력 ... 1에 A, 핀 2에 B’를 입력한 NAND gate 7420의 핀 6번 출력파형7) 핀 1에 A’, 핀 2에 B를 입력한 NAND gate 7420의 핀 6번 출력파형실험 3. 10
    리포트 | 9페이지 | 1,500원 | 등록일 2014.10.04 | 수정일 2017.08.03
  • [논리회로] 디지털시계 설계 텀프로젝트 최종보고서
    등이 필요하다. modulo-N 카운터의 종류는 6진 카운터, 10카운터, 12진 카운터가 필요한데 9장 동기식 카운터에서 배운 대로 설계를 하면 된다.▶ 동기식 modulo-N ... 이때 Eo가 1로 출력이 되면 분 부분의 10카운터의 Ei가 1로 되어 분의 10카운터도 클럭펄스에 동기 되어 초 부분의 10카운터와 같이 증가됨을 볼 수 있다. ... 따라서 12진 카운터의 값이 0일 경우 12시로 표시되도록 해야 한다. 또한, 10 이상의 수는 두 자리로 표현하기 위해서 디코더를 설계해야 한다.
    리포트 | 13페이지 | 2,500원 | 등록일 2013.02.06
  • n진 카운터 설계
    이번 설계를 통하여 우리들은 일정한 CLK 펄스가 들어올 시 JK Flip-Flop을 이용하여 10카운터가 발생되는 7490 Decade Counter, 카운터의 2진수 신호를 ... 이들은 각기 사용할 수도 있고 연결하여 10카운터로 사용할 수도 있다. 10분주로 사용할 경우 2분주 카운터의 출력을 5분주 카운터의 클록(CLK)2로 연결시키고 계수용 입력 펄스는 ... 84진 카운터 설계 결과 보고서2007**** 강** 2007**** 김** 2007**** 손**1.
    리포트 | 6페이지 | 2,500원 | 등록일 2013.06.02
  • 논리회로실험 12주차 예비보고서
    여기서 10카운터란 ‘4비트 이상의 기억 소자로 구성된, 대부분 BCD 코드(2진화 10진 코드)가 사용되는 계수기이며 10개의 입력 후 처음의 상태로 되돌아가는 상태를 나타내는 ... (ring counter)존슨 카운터(Johnson counter)이번 실험에서 사용될 카운터는 ‘10카운터’로서 7segment의 BCD에 10진수의 숫자 값을 주어 해당 숫자에 ... 변환해 주기 때문에 회로를 설계하는 입장에서는 디코더라 칭할 수 있지만, 실제로는 4비트 십진수를 7비트 코드로 변환하는 코드 변환기이다.
    리포트 | 4페이지 | 1,000원 | 등록일 2014.01.05 | 수정일 2014.09.30
  • 디지털회로실험 교안.hwp
    카운터(1) 59실험 10. 카운터(2) 591. 실험 목적 592. 기초 이론 593. 예비 보고서 624. 실험 기자재 및 부품 645. 실험 방법 및 순서 646. ... 기초 이론인코더(encoder)란 어떤 입력 값에 대해 이에 대응되는 2진 출력을 내는 회로를 말한다. 번역하여 “부호기”라고도 부른다. ... NAND 게이트로 이루어진 R-S 플립플롭을 설계하라.2.2. [그림 6-2]에 Clear과 Preset 기능을 추가하라.3.3. T 플립플롭에 대한 특성표는 다음과 같다.
    리포트 | 79페이지 | 1,000원 | 등록일 2017.10.23 | 수정일 2020.11.26
  • 세그먼트를 이용한 주차 관리 시스템
    카운터에서 발생한 2 진 데이터를 디코더를 거쳐 7-segment 에 출력된다 . 5. 1 단위 ,10 단위 , 전체 Reset 버튼으로 각각 초기화 한다 .채터링 방지 회로 ? ... 가장 큰 문제가 카운터를 통한 2 진 데이터를 디코더를 통해서 7-seg 출력해야 하는 과정인데 이 디코더부분설계가 위와같이 너무 큰회로였고 이것을 간단하게 만들기 위한 ic 칩은 ... 업카운터와 다운카운터의 연결 2.Reset 버튼을 1 단위 , 10 단위 , 전체 총 3 가지로 구분해서 제작 3.
    리포트 | 21페이지 | 1,000원 | 등록일 2014.03.04
  • 10진카운터
    [실험3] 10카운터 제작5. ... 먼저 입력 값을 직접 받는 74LS90에 대해서 살펴보자. 74LS90은 사각파의 신호를 받으면 이를 10진법(10가지 종류의 신호를 반복)으로 출력한다. 0∼9까지 숫자가 10개 ... 이기 때문에 이러한 디지털 IC가 사용된 것이다.74LS90에서 출력된 신호는 다음 디지털 IC인 74LS47에 입력된다. 74LS47은 10진법의 신호를 7segment에 각각 0
    리포트 | 2페이지 | 2,000원 | 등록일 2009.07.14
  • 디지털 시계 제작(디지털공학 실습 과제)
    이때 Eo가 1로 출력이 되면 '분' 부분의 10카운터의 Ei가 1로 되어 분의 10카운터도 클럭펄스에 동기되어 초 부분의 10카운터와 같이 증가됨을 볼 수 있으며, 이는 ... (R01와 R02는 칩내부에서 AND연산으로 1이되면 카운터 리셋된다.)위의 6진 카운터의 원리를 이용하여 10카운터1010=10이 되는 순간, 12진 카운터는 1100=12가 ... -초의 일의자리 10카운터 증가시점: 1 Hz 클럭이 인가될 때마다-초의 십의자리 6진 카운터 증가시점: 초의 일의자리 10카운터가 9 ->0으로 변할 때 한 자신의 좌측에
    리포트 | 12페이지 | 2,500원 | 등록일 2013.01.18
  • 디지털공학 실험 디지털시계보고서
    등이 필요하다. modulo-N 카운터의 종류는 6진 카운터, 10카운터, 12진 카운터가 필요하다.그림 9.1 디지털시계 전체 블록도② 동기식 modulo-N 카운터 설계시계는 ... 즉 6진 카운터에서 최대값(101)은 C와 A가 1일 때이므로 C, A, Ei를 AND 연산하면 Eo가 된다.enable 기능을 갖는 10카운터와 12진 카운터에 대한 설계도 같은 ... : 초의 일의자리 10카운터가 9에서 0으로 변할 때분의 일의자리 10카운터 증가시점 : 초의 십의자리 6진 카운터가 5에서 0으로 변할 때(즉, 59초에서 00초로 변할
    리포트 | 11페이지 | 1,000원 | 등록일 2012.11.28
AI 챗봇
2024년 09월 02일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:48 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대