• 통큰쿠폰이벤트-통합
  • 통합검색(229)
  • 리포트(216)
  • 논문(4)
  • 시험자료(4)
  • 방송통신대(4)
  • 자기소개서(1)

"4’-MDA" 검색결과 181-200 / 229건

  • [폴리이미드]폴리이미드
    )(b) 디아민성분- MDA(4.4'diamino-diphenyl methane)- MDA외의 디아민으로서 OTB(3.3'-dimethyl benzidine)(공중합모노머로 이용하여 ... 이 필름은 폴리(4,4‘-디페닐에테르피로메리드이미드)로 이루어지는데, 여기에서는 단순히 폴리이미드 필름이라고 부르기로 한다. 1960년에Du Pont사에서 개발된 카프톤은 세계의 내열 ... 3)(4)..PAGE:52.
    리포트 | 21페이지 | 2,000원 | 등록일 2006.05.20
  • [의학]콩단백질과 항암작용
    MDA-MB-231 zenograft 모델에서, 제니스타인은 또한 종양혈관밀도감소와 VEGF 및 TGF-β1 수치감소로 혈관형성을 억제했다.4. ... )과 메탈로포로티네이즈(metalloproteinase)-1의 증가조절(upregulation)의 특징을 나타내는 MCF-7과 MDA-MB-231 BC세포의 전이를 억제하였다. ... 대조군과 비교하였을 때 식이요법 그룹에서 혈장 테스토시테론이 현저히 감소하였고(-18.3%), 성-호르몬-결합 글로블린은 증가하였다(-3.4% vs 4.1%, p=0.000).
    리포트 | 3페이지 | 1,000원 | 등록일 2005.11.26
  • Interferons 세미나 자료
    J Biol Chem 270: 15974–15978.Refees bind the IFN-inducible RNA helicase, mda-5, and inhibit its activation ... CD4+와 CD8+ T cell의 activity에 관여한다.INF-γ의 다양한 역할Macrophage activationMacrophage를 활성 시키기 위한 요소 IFN-γ IFN-γ에 ... Akira, S., and Takeda, K. (2004) Toll-like receptor signalling. Nat Rev Immunol 4: 499–511.
    리포트 | 20페이지 | 1,500원 | 등록일 2007.04.17
  • 유지의 화학적 실험 - TBA가
    형성량이며, 따라서 일반적으로 유지의 산패도와 밀접한 관계를 맺고 있다는 데 근거를 두고 있다.※ 지질과산화 과정 중에서 불포화 지방산의 붕괴로 인해 생성된 malondialdehyde(MDA ... 측정 원리를 이해하고, 우리가 실험하고자하는 시료의 TBA가를 측정할 수 있다.Principle※ 산화된 유지에 형성된 특정 카르보닐 화합물의 하나인 malonaldehyde가 2-thiobarbituric ... 그래서 TBA가 구하는 공식에 각 각의 숫자를 대입하면은 깨끗한 기름의 TBA가는 1.1 산패된 기름의 TBA가는 4.9라는 결과 값을 얻었다.
    리포트 | 4페이지 | 1,000원 | 등록일 2008.04.07
  • 생쥐에 대한 아세트아미노펜 독성 및 백삼과 화기삼 역할
    Malondialdehyde(MDA) assay Ohkawa, H. , Ohishi, N. ... mice treating acetaminophen after injecting both extracts of white ginseng and American ginseng.Fig. 4. ... / 30APAP--5 / 30ControlAcetaminophen (mg/kg)Ginseng extract (mg/kg/day)AdministrationsMouse (EA)Group사
    리포트 | 16페이지 | 3,000원 | 등록일 2003.11.27
  • [공업화학 실험]폴리우레탄 탄성체의 합성
    ' -Diamino(디아미노디페닐메탄)4Sorbitol(솔비톨)6Sucrose(슈코로스, 설탕)8? ... (MDA, Diphenylmethane Diamine)에 포스겐(Phosgene,COCl2)을 처리(포스겐화, Phosgenation)하여 얻어지는 물질이 MDI이다. ... 평량하여 5분정도 교반7) 유리판에 PU를 붓고 평평하게 한다8) 100℃오븐에 넣어 건조4.실험이론(1) Polymer(중합체)- 단위체(monomer)라고 하는 간단한 화학단위가
    리포트 | 9페이지 | 2,000원 | 등록일 2008.01.28
  • [응급간호] 응급의료체계와 간호, 응급구조와 현장처치
    urgente)- 덴마크: Falek- 이스라엘: MDA (Magen David Adom)- 소련: SMP (Skoraya Medisinskaya Pamosh)? ... 함, 지역 내 응급의료체계의 중심적인 기 능 담당- 필수적 구성요소: 인력, 시설, 장비- 각 권역별 응급의료기관은 외상센터로서 기능 수행할 수 있어야 함(4) 통신체계- 각 단계의 ... 4요소: 병원전처치단계, 이송단계, 병원진료단계, 통신체계(1) 병원전 처치단계- 응급환자의 신고에 따라 환자의 상태에 EK라 구급차 즉시 출동, 구급처치, 병원선택 등 적절한 서비스를
    리포트 | 8페이지 | 2,000원 | 등록일 2008.11.29 | 수정일 2024.04.11
  • [영양학]비타민 E 관련 논문(3편) 발표자료-해외논문편
    (PAI activity levels: 13.6F1.4 vs. 7.6F2.2 IU/ml, p 0.05, thioredoxin levels: 22.8F1.7 vs. 16.0F1.4 ng ... -감사합니다-{nameOfApplication=Show} ... Malondialdehyde (MDA)는 표준의 피층에서 허혈 후 신장에서 48시간 후에 측정되었습니다.vitamin E 와 acute renal failureVitamin E 부족은
    리포트 | 23페이지 | 1,500원 | 등록일 2004.06.21
  • [공학기술]폴리우레탄 탄성체의 합성 실험 예비 레포트
    /실/험/준/비/diphenylmethane diisocyanate (MDI)polyethyllene glycol Mw 20001,4-butanediolmethyl ethyl ketoneisopropyl ... rearrangement로 합성된다.①MDI(methylene diphenyl diisocyanate)이번 실험에 사용될 물질로, aniline과 formaldehyde의 축합으로 생성된 MDA ... 24g을 희석시킨다.2) Polyethylene glycol (PEG) 12g을 MEK 28g에 희석시킨다.3) 최초 희석된 MDI 용액을 0.5g 덜어내어 NCO값을 측정한다.4)
    리포트 | 9페이지 | 1,000원 | 등록일 2007.06.04
  • (스포츠영양학)운동과 항산화
    (표 14-1)표 14-1. ... 보조인자는 비단백질부분에 해당하며 아포효소는 단백질 부분에 해당한다.미토콘드리아에서 일어나는 세포호흡 과정을 통해 산소분자는 1가(univalent), 2가(divalent), 4가 ... Duthie 연구팀의 연구에 다르면 마라톤을 반쯤 달린 후 MDA(malondialdehyde)와 복합디올레핀을 측정했을 때 혈장 크레아틴키나아제(creatine kinase)의 활성이
    리포트 | 12페이지 | 1,500원 | 등록일 2007.08.22
  • CLA에 관하여
    아직은 CLA를 첨가한 사료로 사육하였을 때는 닭고 기, 돼지고기는 저장성이 더 길었고, 동물실험에서는 지질과산화물 형성을 감소시켰지만 아직은 더욱 깊은 연구가 요구되는 분야이다.4) ... 한편 간조직의 cytosol에서 SOD와 GSH-Px 의 활성도는 쇠기름을 섭취한 경우에는 CLA에 의한 영향이 관찰되지 않 았으나 불포화도가 높아 MDA 생성이 높은 어유 섭취군에서는 ... 지질의 과산화정도를 나타내는 간조직의 malondialdehyde(MDA) 함량은 불포 화도가 높은 어유를 섭취한 군에서 더욱 높았는데 CLA에 의해서 MDA 생성량이 유의하 게 감소되었다
    리포트 | 9페이지 | 1,000원 | 등록일 2002.11.15
  • [정보기술]제품 & 시스템인증 및 라이센스 (Microsoft/Intel) 세미나
    -2) 정전기 방전내성 RS (IEC61000-4-3) 방사내성 EFT (IEC61000-4-4) 전기적빠른과도현상내성 Surge (IEC61000-4-5) 서지내성 CS (IEC61000 ... -4-6) 전도내성 MF (IEC61000-4-8) 전원주파수자계내성 Voltage dips and interruptions (IEC61000-4-11) 전압강하 및 순시정전 내성EMSCS ... System 인증License 관련 (Microsoft / Intel)1년 중 어느 한 모델이라도 잘못 적용되어 MS Audit에 걸렸을 경우 (MDA 2004 계약에 위반 한 경우
    리포트 | 27페이지 | 1,500원 | 등록일 2005.01.27
  • [교양] 엑스터시에 관한 Q&A
    ·MDMA = 3,4-methylenedioxymethamphetamine·MDA = 3,4-methylenedioxyamphetamine·MDMA = N-methyl-MDA = Adam ... 기존마약과 엑스터시의 차이점 ------------ 47. 엑스터시의 확산정도 및 동향 ------------- 4∼58. ... ·MDE = N-ethyl-MDA = EveO /\ /\ NHCH3/ \ / \ / \ // | | |CH2 | | CH3\ | |\ / \ /O \/5.
    리포트 | 11페이지 | 1,000원 | 등록일 2003.02.01
  • 그래픽 카드의 발전
    Geforce4 MX4206. Geforce4 MX440- 용어 해설 -- 그래픽 카드의 발전 -1. ... 2167517 김소희- 목 차 -- 그래픽 카드의 발전 -1. MDA (Monochrome Display Adaptor)2. ... MDA는 업무용으로 설계되었던 IBM-PC의 개발철학에 따라 문자만을 표시할 수 있었다. 최대 25열*80자의 문자를 화면에 표시하는 MDA는 글씨체가 선명하였다.
    리포트 | 13페이지 | 1,000원 | 등록일 2002.04.16
  • [생화학] 생쥐에게 투여된 아세트아미노펜으로 유도되는 간 손상에 도라지의 간 보호 효과.
    , 그리고 0.01 mM phenylmethoxysulfonylfluoride를 포함하는 Tris-HCl 10 mM(pH 7.4)의 4배 되는 부피로(w/v) 균했고 Dunnet의 ... CK는 이 방c acid와 반응하는 MDA의 형성으로 측정하였다.2.5.간 GSH 측정.쥐는 경추탈구로 죽였다. ... , nmol/g wt.)Control35 4b26 33.1 0.4CK(100㎎/㎏)33 4b23 43.2 0.4APAP2175 221c,d1472 1518.6 0.9c,dCK(10㎎
    리포트 | 12페이지 | 1,500원 | 등록일 2004.11.19
  • 인도 에이컨 시장의 다이킨
    설립1990년 Tailand에 Daikin industries 설립France에 판매회사 설립(daikin Aircon France)1991년 USA에 Daikin America와 MDA ... 50%대로 증가- 특히 산업용 증가4.매출액 5배 되면 인도 내에 생산 공장 마련할 계획1. ... 인도 company와의 협력-인도 시장 진출에 유리- Usah의 유통망 인수- Shriram의 제조 설비 인수(4) Future Plans1.
    리포트 | 14페이지 | 2,000원 | 등록일 2007.05.30
  • <정신간호> 마약의 종류와 정의
    디자이너 약물의 예로는 이외에도 MDA, MMDA, TMA, 2C-B, MDE 등을 들 수 있다.대마초, 하쉬쉬정의 -대마초는 대마의 잎과 꽃 부분을 건조하여 담배형태로 흡연할 수 ... 약효가 강해서 소량으로도 충분한 반응을 얻을 수 있으나 지속시간이 짧아 중독자는 4~6시간 후면 재투약을 갈망하게 된다.특이사항 - 헤로인의 위험성은 특히 ‘양 늘이기’에 있다. ... 부작용 - LSD는 1회 사용량이 100~250㎍에 불과하다.
    리포트 | 6페이지 | 1,000원 | 등록일 2007.11.19
  • [의학약학]베타카로틴 β-carotene
    Carotenoid를 함유한 리보솜을 염화제2철과 배양하면 MDA의 생성은 carotenoid가 함유하지 않는 경우에 비하여 적었으며, 또한 β-carotene을 투여한 몰못에서 사염화탄소를 ... 본론……………………………3(1) 항산화제와 질병………………3(2) 카로티노이드………………4(3) 비타민 A 레티놀………………5(4) 폐암과 베타카로틴………………6III. ... 임신 전이나 임신 중에는 보충제나 많은 양의 간을 먹어서는 안 된다.(4) 베타카로틴과 폐암과거의 여러 역학연구 결과들에 의하면의 암화학예방요법의 효과를 입증하기 위한 대규모 임상실험으로
    리포트 | 7페이지 | 3,000원 | 등록일 2007.06.17
  • [생산관리] 가상기업이란?
    즉,- 신속한 대응성 : 새로운 생산자원을 신속히 조성- 신속한 시장기회 포착: 최소 투자로 신속하게 시장기회 포착으로서 설명되어 진다.3.4 가상기업의 문제점- 잘못된 의사소통, ... 이를 위해 우선 MDA에서는 CAD 화일과 NC머신 코드를 보안망이 갖춰진 에어로텍사의 시스템으로 보내고 에어로텍사는 이를 통상의 다이얼-업을 활용하여 UCAR사에 데이터를 중개한다 ... 이렇게 하여 UCAR사는 MDA사에서 제공하는 CAD 화일을 자사의 시스템에서 활용할 수 있게 되며, MDA에서 보내준 NC머신 코드로 생산에 들어가게 된다.
    리포트 | 10페이지 | 1,000원 | 등록일 2002.05.28
  • [한방시스템공학] 양격산화탕의 PC12세포를 이용한 뇌허혈에 대한 효능 및 기전연구
    (4.6mg/ml STOCK) : 21.7ul/ml - 양격산화탕 (20 mg/ml STOCK) : 1ul/ml 4.6 mg/ml homogenate 108.7 ul + 20mg/ ... In vitro cell culture 에 의한 한약물의 기전연구를 알아본다. - GPx, SOD assay, Catalase, MDA를 측정하여 결과를 분석해 본다.예비 실험예비실험 ... MDA 측정실험 결과{nameOfApplication=Show}
    리포트 | 21페이지 | 1,000원 | 등록일 2002.05.07
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 16일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:00 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대