• 통큰쿠폰이벤트-통합
  • 통합검색(502)
  • 리포트(443)
  • 자기소개서(20)
  • 논문(19)
  • 시험자료(14)
  • 서식(2)
  • ppt테마(2)
  • 방송통신대(1)
  • 이력서(1)

"Process Simulation" 검색결과 181-200 / 502건

  • Full CPU 설계 프로젝트-Verilog HDL code 포함
    The Process of Design(1) Input/Output Port Definition1) ALU 모듈(thumb_alu)- Input//func : ALU가 수행할 연산의 ... A detail description of simulation results(Behavioral Simulation)1) 번 실험에 대한 결과.0x11→170xaa→170 이므로 두
    리포트 | 29페이지 | 5,000원 | 등록일 2016.06.25
  • 창의적 사고를 위한 발상기법 소개
    Consult4you키워드 발상법 - Simulation 3. ... 테마결정 결 과 내 용 순 서 워드 다이아몬드법 - Simulation Consult4you3. ... Output process 중에 발상단계 정의와 activity, output 이 정리되어 있으며 최종 output 은 다음과 같다.
    리포트 | 34페이지 | 2,000원 | 등록일 2013.03.30 | 수정일 2014.03.06
  • Wiener Least Square Filter
    DIGITAL SIGNAL PROCESSING _ 디지털신호처리디지털신호처리(#6,Simulation Project)제출날짜담당교수강문기 교수님학번이름Wiener Least Square ... Filter1.Simulation1주어진 파일 ‘project6.txt'에는 sampling rate가 8192Hz이고 sample 개수는 131072개인 음성 신호가 포함되어 잇습니다 ... . ’project6.txt'에서 추출한 신호를 x라 하고, 이 신호가 h라는 필터를 통과하여 u라는 노이즈에 간섭을 받은 신호를 y라고 하면 다음과 같이 표현할 수 있습니다.Simulation
    리포트 | 11페이지 | 3,000원 | 등록일 2013.10.28
  • 한미FTA 대응을 위한 전략적 Idea (자동차산업을 중심으로 )
    Cost Accounting Flow회사의 원가흐름설명 및 원재료 구매시부터 제품이 출고될 때까지의 회사의 제반 Process 및 Report(Purchase Order, 구매 invoice ... 개의 생산자로 간주하는 Accumulation Rule을 사용하기 때문에 현대자동차 내부 정보에만 의존해서는 아니되며, Tier 1, 2 등의 부품 공급자들의 정보를 미리 모아 Simulation
    리포트 | 45페이지 | 15,000원 | 등록일 2016.10.26
  • [토끼] 응용논리_4× 1 MUX를 4가지 구문을 이용하여 VHDL로 설계, 시뮬레이션, 합성 과제 ( IF 문, CASE 문, When~else 문, With~select
    인터넷을 뒤져본 결과 compile report를 이용하여 하나씩 여러 에러들을 잡아내었으며 결국 컴파일을 성공 할 수 있었다. (1-2) IF 문을 이용한 4×1 MUX의 SIMULATION ... 1 downto 0); Y: out std_logic );end mux41;architecture BEHAVE of mux41 isbegin process ... elsif SEL="10" then Y
    리포트 | 17페이지 | 3,000원 | 등록일 2013.01.14 | 수정일 2020.07.13
  • [토끼] VHDL로 구현한 4 state 구현, Altera 보드로 LED2 상태로 시뮬레이션 및 확인
    과제: 1) Model Sim에서 Simulation2) Synplify (RTL view)아래 그림의 상태도와 Block diagram을 참고하여 VHDL로 구현 및 합성< 과제를 ... --------------------------------------------------------------------------------------------process(CLK ... begin---------------------------------------------------------------------------------------------- 1 process
    리포트 | 16페이지 | 3,000원 | 등록일 2013.01.17 | 수정일 2020.07.13
  • 화학공정모사종류및특성
    화학공정모사기(Chemical Process Simulator)란? ... Wang이 1968년도에 개발한 PROCESS로써 윈도우상에서 구현되는 Simulation Science사의 그래픽용 화학공정 모사기이다. ... 범용성 화학공정 모사기(General Purpose Chemical Process Simulator)란 화학공정을 열역학을 이용하여 수학적으로 모델화하고 이를 컴퓨터 하드웨어를 이용하여
    리포트 | 2페이지 | 1,000원 | 등록일 2011.05.03
  • Go Back N ARQ
    SIZE]; //frm buffer of ant int ant_size; //how many frames in ant unsigned int ant_cursor; //where now processing ... start_t; //start time time_t current_t; //current time int T,thro; srand((unsigned)time(NULL)); printf("Simulation
    리포트 | 4,000원 | 등록일 2014.06.10 | 수정일 2014.06.20
  • VHDL을 이용한 순차회로 설계
    다른 Simulator나 testbench를 통해 test 해보지는 않았다. ... 그 후 process가 끝날 때 reg를 q에 넣어줌으로써 output을 출력하게 된다. 4) Discuss how your circuit works process 내부를 살펴보면 ... , process ( reset, clk ) 는 Asynchronous reset을 뜻한다. count_clk 는 variable로 사용해 주었으며, 이는 input이 들어올 때 그
    리포트 | 16페이지 | 2,000원 | 등록일 2014.11.04 | 수정일 2022.11.04
  • AWGN 채널에서의 BPSK/QPSK 변조기법의 BER 분석 (매틀랩 분석)
    until number of errors exceeds maxNumErrs% or number of bits processed exceeds maxNumBits.while((totErr ... lableylabel('BER (Bit Error Rate)','fontsize',12,'fontweight','bold') ;%y축에 표시할 lablen=1000, nof bits processed ... .% performance_psk.m% Simulation program to realize BPSK/QPSK transmission system%clear all; clc ; close
    리포트 | 33페이지 | 2,500원 | 등록일 2012.06.05
  • 원자력 R&D
    프랑스를 중심으로 한 EU는 2013년부터 3년간 26개 기관이 참여하는 SACSESS(Safety of ACtinide Separation proceSSes)를 통해 파이로를 이용한 ... U. of Michigan, Westinghouse)가 주축이 되어 2010년부터 5년간 약 1,500억 원을 투입한 CASL(Consortium for the Advanced Simulation
    리포트 | 14페이지 | 2,000원 | 등록일 2017.12.31
  • 2 port nand xor gate 설계
    (I1,I2) begin if (I1='1') and (I2='1') then O ='0'; else O ='1'; end if; end process; end Behavioral; ... (I1,I2,I3) begin if (I1='1') and (I2='1') and (I3='1') then O ='0'; else O ='1'; end if; end process; ... (I1,I2) begin if (I1=I2) then O ='0'; else O ='1'; end if; end process; end Behavioral;XOR gate는 Input이
    리포트 | 24페이지 | 1,500원 | 등록일 2010.09.09
  • ERP 개념 및 시장현황 분석
    가상구현시스템 (VIS) 과 가상현실 및 최적화 기법을 사용한 Simulation 과 Animation 기능을 수행함으로써 인간의 판단기능을 일부 대신해 주는 방향으로도 발전ERP ... ERP 업체들의 구축현황을 보았을 때 , 주로 제조와 유통업을 중심으로 ERP 가 보급되바탕으로 마케팅 프로그램을 개발 , 실현 , 수정하는 고객 중심의 시스템 Business Process ... 업무처리의 원활한 흐름 및 정보의 실시간 공유체계 확립 신속하고 정확한 의사결정 지원 ㅣ 기업활동에 대한 현황의 실시간 파악으로 경영층의 신속하고 정확한 의사결정 지원 Business Process
    리포트 | 32페이지 | 10,000원 | 등록일 2014.03.26 | 수정일 2015.01.21
  • 2-port OR gate
    Processes창에 ModelSim Simulator를 클릭하고 Simulate Behavioral Model를 더블클릭 하면 Modelsim이 실행되는데, 모든 포트의 데이터 가 ... Source창에서 waveform을 만들때 작성한 파일을 선택한 후 Processes창에서 Simulate Behavioral Model을 실행한다. ... Source for: 항목이 Synthesis/Implementation으로 설정한 다음, Processes 항목에서 Synthesis를 더블 클릭한다.
    리포트 | 17페이지 | 1,000원 | 등록일 2010.06.24
  • 2-port Nand,Xor, 3-port AND gate의 설계
    ; -- process문의 종료 End Behavioral; -- Architecture의 끝3. ... 부프로그램, 즉 함수와 프로시저에서만 사용되며, 변수의 값도 process나 부프로그램 내에서만 유효한 내적변수를 말한다. ... ; -- process문의 종료 End Behavioral; -- Architecture의 끝Behavior Modeling 방법을 이용한 NAND Gate3.
    리포트 | 25페이지 | 1,000원 | 등록일 2010.06.24
  • 비즈니스 프로세스 혁신 과정 및 소프트웨어로 구현되는 과정
    및 분석 (Simulate and Analyze) : 하이 레벨 모델을 이용하여 몇 가지 핵심 업무의 표본을 매핑하고 데모 시스템 상에서 시나리오를 실행하여, 문제 발생 부분, 성능병목 ... 비즈니스 프로세스(Business Process) 기술의 개념BPM(Business Process Management; 비즈니스 프로세스 관리)은 전사적인 프로세스 가시화를 통하여 ... 이와 관련하여 비즈니스 프로세스(Business Process) 기술의 개념과 비즈니스 프로세스 혁신 과정 및 소프트웨어로 구현되는 과정에 대하여 살펴보고자한다.Ⅱ. 본론1.
    리포트 | 5페이지 | 1,500원 | 등록일 2013.12.28
  • Traffic Light Controller
    .▶ Quartus II 사용을 통해 설계 능력과 Test Bench를 사용을 통해Simulation 능력을 향상시킨다.▶ HBE-ComboⅡ보드에서 동작상태를 확인하여Simulation ... 하지만 0~9까지의 카운터 하는 속도가 굉장히 빠르므로 DOT LCD는 10개가 동시에 켜진 것처럼 보이게 된다.2.3 Simulation 결과 및 해석▶ 신호등의 동작 상태 확인전체적으로 ... 이 process에서는 7세그먼트가 0~9까지의 표er와 같은 0~15까지의 integer 값으로 선언 해 준다.
    리포트 | 16페이지 | 5,000원 | 등록일 2012.03.11
  • Cumene Production Condition
    ProcessSimulate시킨다.11. Error와 Warning이 없지만 STEAMNBS로 바꿔주면 더 SRK에 적합해진다.12. ... 프로그램을 Simulate하기 전으로 돌아간다.14. 결과적으로 Product에서 Cumene의 flow rate는 26.49968lbmol/hr가 나왔다.
    리포트 | 9페이지 | 2,000원 | 등록일 2011.10.13
  • 전자전기컴퓨터설계실험1 11주차 Prelab RLC Circuit
    맞추어야 정확한 측정 이 가능하고 Trigger 기능을 사용하여 원하는 파형의 형태를 얻을 수 있음에 유의해야 한다.③ Pspice회로 구현 시 gnd_earth 기능을 해주어야 하고 Simulation ... Signal Processing을 하며 전류의 변화량에 비례해 전압 을 유도함으로써 전류의 급격한 변화를 억제하는 기능을 함.(4) PspicePspice란 전자, 전기, 디지털회로의 ... Noise filter처럼 Siganal Processing 을 하며 Power 요소를 고정시고 Energy를 저장하는 역할을 함.③ InductorCapacitor와를 달리 Analog
    리포트 | 11페이지 | 1,500원 | 등록일 2014.03.11 | 수정일 2014.03.17
  • 전자전기컴퓨터설계실험1 10주차 Postlab RC & RL Circuit
    Noise filter처럼 Siganal Processing 을 하며 Power 요소를 고정시고 Energy를 저장하는 역할을 함.③ InductorCapacitor와를 달리 Analog ... 그나마 나타난 미세한 오차는 실험 상 쓰인 Resistance와 Capacitance가 이론적으로 계산할 때 사용한 제원과 달라서 나타난 오차이다.1-7 Simulation 결과와 ... Signal Processing을 하며 전류의 변화량에 비례해 전압 을 유도함으로써 전류의 급격한 변화를 억제하는 기능을 함.3) Matters that require attentions
    리포트 | 19페이지 | 1,500원 | 등록일 2014.03.11 | 수정일 2014.03.17
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 16일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
1:35 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대