• 통큰쿠폰이벤트-통합
  • 통합검색(502)
  • 리포트(443)
  • 자기소개서(20)
  • 논문(19)
  • 시험자료(14)
  • 서식(2)
  • ppt테마(2)
  • 방송통신대(1)
  • 이력서(1)

"Process Simulation" 검색결과 201-220 / 502건

  • GS칼텍스 합격자소서
    그 결과 OOO 측에서 발행하는 Unisim Simulation Certification Silver 등급을 획득할 수 있었습니다. ... 특히 현장실습 기간 중에 관련 프로젝트를 할 기회가 있었는데, 그 프로젝트는 Ethyl Benzene Process를 모델링 하는 것이었습니다. ... 우선 Ethyl Benzene Process에 대한 지식을 쌓고, 공정 모델링에 대하여 공부를 한 뒤, 방법을 도출하며 프로젝트를 수행하였습니다.
    자기소개서 | 4페이지 | 3,000원 | 등록일 2014.01.05
  • 공정 모사를 통한 증류탑 설계 실험 결과보고서
    실험 제목 : 공정 모사를 통한 증류탑 설계(Process Simulation - Distillation Tower Design)2.
    리포트 | 11페이지 | 2,500원 | 등록일 2015.11.03 | 수정일 2015.11.07
  • 2 port Or gate 설계
    Test Bench Simulation 1) Begin 과 End process 사이에 실제 어떻게 동작할지 지정한다 . ... = ‘0’; wait for 10 ns; I1 = ‘1’; I2 = ‘0’; wait for 10 ns; I1 = ‘1’; I2 = ‘1’; wait for 10 ns; end process ... 일반 Simulation 2. Test Bench Simulation 3. Test Bench Waveform Simulation4 장 .
    리포트 | 12페이지 | 1,500원 | 등록일 2010.09.09
  • 308FirstLecture-1
    indicators  Market selection strategies: market concentration vs. market spreadingIBUS 308 Management Simulation ... Management Simulationb) Timing of entry Early market -scale entry  Limited risk  Incremental learning process ... usesIBUS 308 Management SimulationFacilitating factors for the emergence of born globals: Advances in process
    리포트 | 19페이지 | 2,000원 | 등록일 2013.01.20
  • 화공생명공학과 공정제어 프로젝트 레포트
    Simulate the closed loop system for input change & disturbances.3-3. ... 따라서 우리 공정에서는 Setpoint change에 대하여I-PD를 제외하고 PID, PI-D 가 비슷한 성능을 보인다...PAGE:66At this process앞서 이야기 했듯이 ... 적용하려는 공정의 상황에 따라서 제어기와 파라미터 결정이 달라진다.우리가 제어하는 공정변수 = 온도온도제어 process는 일반적인 화학 공정과 같이 noise가 작고 동특성이 작은
    리포트 | 86페이지 | 2,000원 | 등록일 2014.02.02
  • 4Bit Ripple Carry Adder의 이론과 레이아웃
    Process of 1 Bit Adder Layout4. Process of 4 Bit Adder Layout5. References1. ... BLOCK DIAGRAM4개의 1BIT ADDER를 앞단의 CARRY OUT이 다음단의 CARRY IN 으로 연결시킴■ 4BIT ADDER LOGIC DIAGRAM■ 4BIT ADDER SIMULATION ... Process of 1 Bit Adder Layout■ 1BIT ADDER LAYOUT■ TRANSISTORS of 1BIT ADDERo PMOSo NMOS■ PUN(Pull Up
    리포트 | 13페이지 | 2,000원 | 등록일 2011.07.17
  • Aspen plus_시뮬레이션
    이러한 훈련을 위해 우리는 주어진 Process flow diagram for the vinyl-chloride process의 Operating condition을 조정해가면서 그 ... home exam reportABSTRACT이번 Take Home Exam의 목적은 제시된 과제를 해결하는 훈련을 통해 우리들에게 Chemical engineer의 기본 소양인 Simulation ... '를 Table 4.6의 수치대로 나타나도록 Simulation을 수행하면서, Feed stream이나 Pump, Heater 등의 온도, 압력과 조성 등의 수치 Data와 반응기
    리포트 | 25페이지 | 1,500원 | 등록일 2012.05.01
  • 그룹사 OO년도 임직원평가방안
    작성 甲 4/21 21 2 ‘OO 평가기획 ( 案 ) 보고 / 승인 甲 4/25 25 전산포함 3 ERP 평가모듈 개발 ERP 평가모듈 개발 乙 4/25 25 4 ERP 事前점검 (Simulation ... 팀장이상 ( 기본 , 직무 , 리더십 ) 목표관리로 통합운영 평가방법 : ERP 로 운영 그룹사 임직원 평가시스템은 MBO-ERP 모듈을 구현하여 평가요소를 단순화하고 , 평가 Process ... 업적신고 역량평가 (15 종 ) Manager 이상 ( 공통직무 , 리더십 ) ERP 업적 및 역량평가 심플화 다면평가는 ERP 로 실시 평가방법 : Excel, ERP 혼재 평가 Process
    리포트 | 16페이지 | 3,000원 | 등록일 2015.12.10
  • 매트랩(시뮬링크)을 이용한 극영점배치 기법 필터(LPF,HPF,BPF) 설계
    입력신호의 불규칙한 잡음을 추가한 후 , 적절한 수치를 전달함수 기본 공식에 대입하여 각 각 차수가 2차, 4차, 8차인 전달함수를 구하여 Filter의 대입한 후 SImulation을 ... Process To Make Digital Filter .......................................... P. 56. ... Process To Make Digital Filter? Matlab Schemetic을 이용하여 Filter Design< Filter Design Schemetic> ?
    리포트 | 9페이지 | 1,000원 | 등록일 2012.10.30
  • 해양플랜트 일반
    성분을 토대로 원하는 생산에 맞추어 가상적으로Equipment 를 배열하여 Process 를 계산하게 되는데 이 작업은 Simulator 를 이용하여,Simulation 하며 결과를 ... 도면화 시킨 것이 PFD(Process Flow Diagram)이며 Process계산은 에너지 보존의 법칙과 질량(물질)보존의 법칙에 의하여 이루어지므로 계산 결과를 나타낸것을Heat ... 해양 플랜트에 대한 이해Process and Utility System 의 기본 설계Offshore Oil and Gas 을 생산하는 Plant 는 EQUIPMENT 들의 기본적인
    리포트 | 44페이지 | 2,000원 | 등록일 2011.09.01
  • 분말 ECAP 공정에 미치는 금형 모서리각 효과에 대한 유한요소해석 (Finite Element Analysis on the Effect of Die Corner Angle in Equal Channel Angular Pressing Process of Powders)
    한국분말야금학회 윤승채, 복천희, 팜쾅, 김형섭
    논문 | 6페이지 | 4,000원 | 등록일 2016.04.01 | 수정일 2023.04.05
  • 10진 카운터설계
    is -- 설계 부분 선언signal cnt : std_logic_vector (0 to 3); -- count를 피드백 하기 위한 포트선언.begin -- 설계 부분 시작 선언.process ... ..Function이 각각 신호에 대해 칩이 독립적으로 반응하는 것을 Simulation하는 형태를 보인다면, Timing Simulation은 VWF의 입력에 따라 신호가 ... 입력되어가면서 우리가 구성한 Schematic을 그 신호가 타고 흐를 때 그 시간마다의 출력변화를 확인 할 수 있는 Simulation이다.
    리포트 | 4페이지 | 3,000원 | 등록일 2011.01.09
  • 프로젝트의 개념(프로젝트의 정의, 프로젝트의 관리체제모형)
    프로젝트의 관리체제모형일반적으로 관리과정(management process) 속에는 기획집행평가의 제 단계들이 포함된다. ... 전체예산안 작성6) 보 고: (i) 추진절차, (ii) 시간 및 비용, (iii) 성과, (iv) 문제점 규명, (v) 해결책 제안7) 시정조치: (i) 시정의 방향 및 방안, (ii) Simulation ... 프로젝트의 체제모형프로젝트를 하나의 체제로 모형화하는 경우에 기본이 되는 구성요소는 물론 투입, 전환과정(conversion process), 산출 등이다.
    리포트 | 8페이지 | 1,500원 | 등록일 2015.02.28
  • COMSOL3.4v 의 사용법 (예제 : heat transfer)
    Post Processing 모드를 사용하여 여러 가지 결과값을 알 수 있습니다 .17. ... 모 든 설정이 다 끝났으므로 Solve 메뉴에서 Solve Parameters 를 눌러 Simulation 할 조건을 설정합니다 .
    리포트 | 25페이지 | 4,000원 | 등록일 2013.10.18
  • Implant energy 변화에 따른 nMOSFET의 특성
    Simulation Results(1) Source Current 변화 추이Electron CurrentHole Current50 keV-1.030E-005-9.054E-02970 ... Process Flow Value(1) MOS TypeMacroStepProcess DescriptionProcess Recipe00SubstrateBoron, 5e15/cm3, orientation
    리포트 | 26페이지 | 3,000원 | 등록일 2016.03.22
  • 공정모사를 통한 증류탑 설계 실험 예비보고서
    실험제목 : 공정 모사를 통한 증류탑 설계(Process Simulation-Distillation Tower Design)2.
    리포트 | 5페이지 | 1,500원 | 등록일 2015.11.03 | 수정일 2015.11.07
  • PELT Chapter 3 Speaking summary
    Students are given particular roles in the target language and role play likea real situation.Simulations ... transactional and interactional speaking.Interactional speech: This is communicating with someone for social process
    리포트 | 3페이지 | 1,000원 | 등록일 2014.07.29
  • MOLDING 기술의 현상과 동향(4)
    COMPUTER SIMULATION 에 의해 SINGLE PLUNGER 방식과의그림 7 MULTI PLUNGER SYSTEM 에서의 PROCESS 최적화점도변화의 차이가 상세하게 조사되어 ... PROCESS 의 중요성그림 1 에 MOLDING PROCESS 의 개요를 나타냈다. ... 이러한 문제에 대처하기 위한 동향을 다음에 설명한다.합리적으로 유로를 설계하기 위하여, 수지의 유동현상을 기술하는적절한 물리 MODEL 을 세워, COMPUTER SIMULATION
    리포트 | 9페이지 | 5,000원 | 등록일 2011.09.30
  • NetSuite,NetSuite CRM사례,클라우드컴퓨팅,클라우드컴퓨팅기업사례,CRM기업,CRM영문
    Customer Employee Organization-centric process Optimization business process What is CRM? ... CRM Competition SimulationDirvice Systems Option 1 Option 2 CRM Competition SimulationCRM Competition Simulation ... structure Structure focused on business Conventional organization The new organization Customer-centric process
    리포트 | 56페이지 | 5,000원 | 등록일 2012.09.12
  • 화학공정모사 프로그램의 종류 및 특징
    화학공정모사 프로그램의 종류화학공정 모사기의 종류에는 Aspen Technology사의 ASPEN PLUS, Simulation Science사의 PROⅡ, Chemshare사의 DesignⅡ ... Simulator)로서 화학공정을 열역학을 이용하여 수학적으로 모델화하고 이를 컴퓨터 하드웨어를 이용하여 실제 정유 및 석유화학공장에서 일어나는 상황을 묘사하는 소프트웨어이다. ... 조건과 범위를 설정해줘야 하므로 프로그램과 공정과 프로그램에 대한 지식이 부족한 사람은 HYSYS를 효율적으로 사용하지 못한다는 점이다.③PROⅡPROⅡ의 제조회사는 Invensys Process
    리포트 | 4페이지 | 2,500원 | 등록일 2011.06.29 | 수정일 2015.06.29
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 16일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
1:32 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대