• 통큰쿠폰이벤트-통합
  • 통합검색(502)
  • 리포트(443)
  • 자기소개서(20)
  • 논문(19)
  • 시험자료(14)
  • 서식(2)
  • ppt테마(2)
  • 방송통신대(1)
  • 이력서(1)

"Process Simulation" 검색결과 161-180 / 502건

  • 시뮬레이션과제#2_Chapter3_Exercise
    풀이과정Slide *Simulation with Arena, 5th ed.▶ Inspection Process의 대기시간 및 대기 행렬의 길이Exercise 3.8Slide *Simulation ... with Arena, 5th ed.▶ Inspection Process에서의 Inspector 가동률Exercise 3.8Slide *Simulation with Arena, 5th ... with Arena, 5th ed.(2)▶ 합격품과 불합격품의 개수Exercise 3.6Slide *Simulation with Arena, 5th ed.▶ Inpection Process에서의
    리포트 | 68페이지 | 2,000원 | 등록일 2011.11.27
  • Interpolation & Decimation
    DIGITAL SIGNAL PROCESSING _ 디지털신호처리디지털신호처리(#2,Simulation Project)제출날짜담당교수강문기 교수님학번이름Interpolation & Decimation1 ... Simulation1Simulation2_1#include #include #include #include "fft.h"#define size1 512#define size2 1024 ... 또한 여기에 그래프를 추가하진 않았지만, 설계한 필터를 시간측면에서 convolution한 결과와 같지는 않았지만 유사하다는 것도 볼 수 있었다.2.Simulation2Simulation2의
    리포트 | 35페이지 | 2,500원 | 등록일 2013.10.28
  • AR & MA Modeling
    DIGITAL SIGNAL PROCESSING _ 디지털신호처리디지털신호처리(#4,Simulation Project)제출날짜담당교수강문기 교수님학번이름AR & MA Modeling주어진 ... Simulation1Simulation1#include #include #include #include #include "correl.h"#include "CMat.h"#include ... 각각은 1024 points의 real 신호입니다.1.Simulation1AR(Autoregressive) modelingAR model의 coefficient a[n]는 다음과 같은
    리포트 | 12페이지 | 2,500원 | 등록일 2013.10.28
  • E1 2016 하반기 합격 자기소개서
    Simulation of CO2 removal in a split-flow gas sweetening process’라는 주제로의 논문으로 공정설계 프로젝트를 수행하였습니다.
    자기소개서 | 4페이지 | 3,000원 | 등록일 2017.05.09
  • 10 Rules for good casting(2)
    clamping (2) processReference John Campbell, Casting practice, Elsevier, 2004 김영직 , 결정제어공학 강의노트 , 2012 Simulation ... Avoid Shrinkage Damage (2) Process 긴 ingot 에 경우 응고가 표면에서 내부로 파급되면서 , 최후에 응고하는 중앙부의 수축으로 긴 간극이 존재하는 것을 ... Avoid Convection Damage (1) 개요 및 Process 대류현상 냉각시간에 영향 부적합한 온도구배 편석 수축 손상 Riser 의 효율 약화 크기가 어중간한 부품이
    리포트 | 16페이지 | 2,000원 | 등록일 2014.01.21
  • ASM Virtuall Makeup, Post Material (PPTX file)
    Simulation 4. ... touchedIndex : Selected landmark object array event class Landmark controlController WebRequest Landmark Image Process.c ... RGB buffer of the View depends on facial area Mask. ⑤ Display the result Image applied make-up effect.Simulation
    리포트 | 10페이지 | 5,000원 | 등록일 2013.08.23
  • DISCRETE EVENT SIMULATION MODEL FOR ANALYSIS OF HORIZONTAL SCALING(클라우드 컴퓨팅 사례)
    Simulation experiment and results 5. Conclusion [ 참조 ] Cloud Computing 전망0. ... 기존논문3.2 Web server 웹서버의 모델은 ‘ 싱글서버 대기모델 ’ 이며 , 서비스 시간은 ‘ 지수함수 ’ 에 의함 . 1 2 3 *Daemon process 웹서버의 모델 ... - Back-end server 는 대기모델임 Daemon process 는 고객의 요구를 듣고 , Load balancer 를 통해 relay 함 Web Server 1 Web Server
    리포트 | 16페이지 | 3,000원 | 등록일 2014.05.24 | 수정일 2014.05.25
  • Fourier Transform & Inverse Fourier Transform
    DIGITAL SIGNAL PROCESSING _ 디지털신호처리디지털신호처리(#5,Simulation Project)제출날짜담당교수강문기 교수님학번이름Fourier Transform ... Simulation1Simulation#include #include #include // SetConsoleCursorPosition(), GetStdHandle()#include ... & Inverse Fourier Transform1.Simulation1다음의 신호를 그리고 ① DFT와 주어진 ② FFT를 이용하여 Fourier transform을 수행한 후
    리포트 | 10페이지 | 2,500원 | 등록일 2013.10.28
  • 비선형시스템해석 - 양팔로봇의 모델링 및 동적해석 (SolidWorks, DAFUL)
    해석 결과에 따른 고찰 다목적 작업을 위한 다자유도 양팔로봇 및 그리퍼 개발Process Process 2Process Step file Mesh file Post processor ... Manipulation Path June 16, 2011 비선형 시스템 해석 Term ProjectIntroduction Progress 3D Modeling Dynamic Modeling Simulation ... 파지할 물체를 mesh data 로 변환하고 , DAFUL 로 불러옴  로봇의 그리퍼와 물체간의 Contact Constraint 을 설정 다자유도 양팔로봇 및 그리퍼 개발 설계Simulation
    리포트 | 28페이지 | 2,000원 | 등록일 2012.11.06
  • AES 원리
    Back Scattered Electron ~ Incident Energy Secondary Electron Auger Electron 0.5 keV ~ X-rayMonte Carlo Simulation ... L23 = 532 – 24 – 7 = 501 eVAES Incident particle  Electron (or Ion or Photon) Interaction  Auger Process ... Image MappingAES Incident particle  Electron (Beam current, Voltage, Probe size) Interaction  Auger Process
    리포트 | 21페이지 | 1,500원 | 등록일 2013.06.04
  • SK Telecom의 교육훈련관리 . PPT
    전략으로부터 추출된 역량 모델을 전사, 부서, 개인의 역량 개발 과정에 일관되도록 적용시키고 그 결과를 평가함으로써 HRD 활동이 Business에 밀착되도록 하는 것1) HRD Process ... Program일정장소비고그룹 공통 교육- SK기업경영의 이해 - 직장인으로서의 기본 소양함양 그룹 일체감 함양- SK의 기업경영 SKMS/SUPEX 추구 토의 - SK Management Simulation
    리포트 | 28페이지 | 3,000원 | 등록일 2018.03.30
  • 삼성 SDS 자기소개서
    오류가 없는 완벽한 Process 구축을 통한 시뮬레이션을 최종 목표로 도전했고 3달의 노력 끝에 500개가 넘는 신호를 논리적으로 완벽히 설계할 수 있었습니다. ... 호주를 포함한 5개국을 여행하며 Green 산업의 중요성을 인식하였고, 인턴 시절 사용했던 PLC Studio 라는 Simulation 프로그램을 통해 Convergence 산업의
    자기소개서 | 1페이지 | 3,000원 | 등록일 2013.04.30
  • SK하이닉스 2016 하반기 합격 자기소개서
    Simulation of CO2 removal in a split-flow gas sweetening process’라는 주제로의 논문으로 공정설계 프로젝트를 수행하였습니다.
    자기소개서 | 4페이지 | 3,000원 | 등록일 2017.05.09
  • LTI System, Convolution and Filter Design
    DIGITAL SIGNAL PROCESSING _ 디지털신호처리디지털신호처리(#1,Simulation Project)제출날짜담당교수강문기 교수님학번이름LTI System, Convolution ... Simulation1Simulation1_1#include #include #include "fft.h"#define size 1024 // size 정의double pi=3.141592 ... and Filter Design1.Simulation1다음은 Discrete-time domain에서의 System T{ㆍ}에 관한 관계식이다.a = 0.5, b = 1.0일 때,
    리포트 | 23페이지 | 2,500원 | 등록일 2013.10.28
  • 원자력시스템 개발
    이를 토대로 2012년 1월에ty Simulation and Assessment)을 구축하고 2014년~2015년 기간 동안 잔열제거계통 열교환기와 기계식 소듐펌프의 개별효과시험을 ... 수행하고 있다. 2015년에는 SFR원형로 핵증기공급계통(NSSS) 사전안전성 분석보고서 작성 ('15.12), 사용후핵연료 재처리 연구를 위한 공학규모 파이로 프로세싱(pyro-processing
    리포트 | 10페이지 | 2,000원 | 등록일 2017.12.31
  • ASPEN plus 기초-레포트
    또한 이번 Aspen plus를 이용한 simulation을 통해서 MCB separation process를 설계하는 방법을 알아본다.Simulation 하는 과정에서 오류가 나면 ... Desired Product 수율을 높이기 위해서는 Optimal한 Feed Temp와 Recycle Stream이 중요한 요인이다.Simulation 결과를 보면 preheater의 ... Simulation 결과를 바탕으로 HCl의 fraction을 계산해 보면 9.769480/36.27422 = 0.269323 정도의 mole fraction을 나타낸다.
    리포트 | 13페이지 | 1,500원 | 등록일 2012.05.01
  • cutoff frequency가 22kHz인 LPF 설계
    초음파 및 그 이상의 주파수 역시 존재한다.이러한 상황에서 인간이 들을 수도 없는 22kHz 이상의 모든 주파수들을 굳이 디지털 데이터화 시킨다는 것은 A/D converting 및 processing에 ... 결과ORcad를 이용하여 위 ④값을 가지고 회로를 구성.회로의 PSpice Simulation결과를 토대로 PSpice를 사용하여 회로를 구성 및 파형을 구현했다. ... , L _{2} =139.8uH, L _{3} =102.3uH,C _{1} =1.023uF C _{2} =1.398uF, C _{3} =0.3745uF⑤ ORCAD, PSpice Simulation
    리포트 | 5페이지 | 1,000원 | 등록일 2014.02.02
  • Autocorrelation Rx(τ)
    The Simulation results of PLOTx1(t) : Acos(2πfct+Θ) + W(t)Sx(f) =Rx(5. ... MATLAB Simulation code1) Sample function 생성x1(t) : Acos(2πfct+Θ) +W(t)랜덤변수 Θ : 30oAmplitude : 1center ... Theory1) Ergodic Random ProcessRandom Process는 WSS(Wide-sense Stationary Random Process), Stationary
    리포트 | 7페이지 | 2,500원 | 등록일 2012.06.22
  • PROCESS DESIGN for Gloshedobin
    The route of process43. Basic information43.1. Simulation을 위한 기본조건53.2. Raw materials53.3. ... -화공종합설계 Process Design-PROCESS DESIGNfor Gloshedobin목차I. 서론21. Introduction 21.1. ... Process132.2.2. Conditions132.3. Centrifugation (Removal of Contaminants)142.3.1. Process142.3.2.
    리포트 | 89페이지 | 4,000원 | 등록일 2014.03.26
  • Simulating the overall Amplitude Modulation (AM) Procedure
    Simulating the overall AM Procedure1Simulating the overallAmplitude Modulation (AM) ProcedureElectronics ... Project Process3.1. Without Noise3.1.1. Modulation ・・・・・・・・・・・・・・・・・・・・・・・・・・・・・・・・・.103.1.2.
    리포트 | 50페이지 | 3,500원 | 등록일 2014.04.23
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 16일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
1:26 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대