• 통큰쿠폰이벤트-통합
  • 통합검색(374)
  • 리포트(368)
  • 시험자료(5)
  • 방송통신대(1)

"half adder" 검색결과 181-200 / 374건

  • 전전컴실험Ⅱ 06반 제03주 Lab#02 [『HBE-ComboⅡ-SE』, 『Xilinx ISE』] 결과 보고서
    -FULL ADDER와 4 BIT FULL ADDERHALF ADDER를 소자화 시켜서 구성했기 때문에 HALF ADDER 만 잘 만들었으면, 큰 어려움 없이 끝나는 실험 이였다 ... -half adderxyCF0000010110011110HALF ADDER는 XOR게이트에 AND 게이트만추가한 구조로, 위의 사진에서 확인해 보면LED8 번의 점등은 XOR게이트의 ... HALF ADDER와 OR게이트로 구현한FULL ADDER는 오른쪽 진리표와 같이입력 값이 (1, 0, 1)일 때 출력은 되지않고 CARRY 값이 1, 입력값이 (0, 1, 1)일때도
    리포트 | 17페이지 | 1,500원 | 등록일 2013.09.09 | 수정일 2013.09.15
  • 실험2 제02주 Lab01 Pre Logic Circuit(XOR,OR,AND,FA,HA)
    Full adderHalf adder 회로 2개와 OR gate로 구현할 수 있었다. ... Predata of this Lab1) Lab 1 of OR gate2) Lab 2 of XOR gate3) Lab 3 of Half adder4) Lab 4 of Full adder4 ... Lab 1과 Lab2의 실험을 통해 반가산기(Half adder)를 구현하여 실험한 결과, XOR gate와 AND gate를 통하여 구현 할 수 있었으며, SUM bit은 XOR
    리포트 | 7페이지 | 1,500원 | 등록일 2014.03.11 | 수정일 2014.03.17
  • 02 논리회로설계실험 예비보고서
    예비 이론(1) 반가산기Half adder, 반가산기는 사칙 연산을 수행하는 기본 회로이며, 2진수 한 자리를 나타내는 2개의 수를 입력하여 합(SUM)과 자리올림 수(Carry)를 ... , 입력 변수의 내용은 1과 0만 존재 할 수 있으므로, 2변수에서 입력되는 조합은 다음과 같은 4가지 경우만 발생한다.0+0000+1011+0011+110(2) 전가산기Full adder
    리포트 | 6페이지 | 3,000원 | 등록일 2014.09.27 | 수정일 2021.04.15
  • VHDL 코딩 소스 가산기 + 반가산기 결과보고서 디지털시스템 설계
    adder)ABCarrierSum0*************10반가산기의 진리표반가산기의 회로도Sum=a'b+ab Carrier=AB 반가산기 Sum, Carrier의 카노맵3. ... 자리올림수(carrier)도 고려하여 더해주는 조합회로를 전가산기라 부른다.이러한 1 bit 형태의 가산기를 확장하여 N bit 가산기를 설계하여 사용하기도 한다.2) 반가산기(half
    리포트 | 6페이지 | 1,500원 | 등록일 2014.10.15
  • 결과 가산기 & 감산기
    실험1) 반가산기Setting: 전압공급기로 공급전압V _{CC} =5V 공급Breadboard상의 오른쪽 노드를V _{CC}(입력=1), 왼쪽을 GND(입력=0)로 설정다이오드 2개를 사용하여 합(S)과 올림수(C)를 표현BVccGNDSCMeasurementA? 입력..
    리포트 | 6페이지 | 2,000원 | 등록일 2013.12.26
  • [아주대]논회실 결과3
    실험과정 및 결과(1) 반가산기(half adder : HA)입 력출 력XYSC*************101- XOR gate(7468)와 AND gate(7408)를이용하여 반가산기 ... C는 carry-out을 나타내는 출력으로, 두 개의 입력이 1이 들어와야 1을 출력하기 때문에 AND gate를 사용한 것이다.(2) 전가산기(full adder : FA)입 력출 ... 출력 C는 carry-out으로 자리올림수를 의미하고, 출력 D는 X-Y-Z의 결과라고 할 수 있다.(3) 반감산기(Half-substractors)입 력출 력XYDB0000011110101100
    리포트 | 4페이지 | 1,000원 | 등록일 2014.09.04
  • 반가산기 & 전가산기 예비보고서
    예비 이론(1) 반가산기반가산기(half adder)는 이진수의 한 자리수 2개를 이용하여 합과 자리올림수를 연산한다. ... AND, OR, NOT의 세 가지 종류의 논리회로만으로 구성할 수 있다.(2) 전가산기전가산기(full adder)는 이진수의 한 자릿수를 연산하고, 하위의 자리올림수 입력을 포함하여
    리포트 | 6페이지 | 1,000원 | 등록일 2014.07.25
  • 전전컴설계실험2-5주차예비
    docId=849943" 반가산기(half-adder)에서는 고려되지 않았던 하위의 가산 결과로부터 올림수를 처리할 수 있도록 한 회로이며, 일반적으로는 가산기 두 가지와 올림수용의 ... 회로로 구성되어 있다.(1bit Full Adder Logic Diagram)(1bit Full Adder 진리표)ABZ(C in)SCout0*************00110110010101011100111111 ... 도식적 표현-측정 결과의 설명참고문헌(References)1.Introduction.(1)Purpose of this LabVerilog HDL 문법을 연습하여 1-bit Full Adder
    리포트 | 14페이지 | 1,500원 | 등록일 2014.03.28 | 수정일 2014.04.15
  • 전전컴설계실험2-5주차결과
    즉, 가수(added), 피가수(augend), 올림수(carry)를 표시하는 세 가지 입력(input)을 「합」과 「올림수」 두 가지 출력으로서 출력하는 전가산기는 반가산기(half-adder ... )에서는 고려되지 않았던 하위의 가산 결과로부터 올림수를 처리할 수 있도록 한 회로이며, 일반적으로는 가산기 두 가지와 올림수용의 회로로 구성되어 있다.(1bit Full Adder ... 결론(Conclusion)6.참고문헌(References)1.Introduction.(1)Purpose of this LabVerilog HDL 문법을 연습하여 1-bit Full Adder
    리포트 | 23페이지 | 1,500원 | 등록일 2014.03.28 | 수정일 2014.04.15
  • Verilog 및 Quartus II를 이용한 논리회로 설계 실습 4-예비,결과 보고서
    게이트를 이용한 overflow 판별기를 가진 4bit Full-Adder의 waveformcarry input이 필요 없는 최하위비트의 덧셈에는 Half adder를 사용하고 나머지 ... 3개의 비트는 Full adder를 사용해서 4비트 2진수 2개를 가산할 수 있는 adder를 설계했다. ... 설계하시오.● 설계된 adder의 출력은 -8 ~ +7까지의 수가 된다.
    리포트 | 6페이지 | 1,000원 | 등록일 2009.01.25
  • 2비트 전가산기 예비보고서
    실험 제목 2비트 전가산기실험 목적[1] 반가산기와 전가산기의 원리를 이해.[2] 가산기를 이용한 논리회로의 구성능력을 키움.관련이론① 반가산기 (HA : Half Adder)- 자리올림은 ... 고려하지 않고 두 비트 A, B만을 입력으로 받아서 출력에 그의 합 S와 자리올림 수 C를 각각 1 비트씩 출력하는 회로② 전가산기 (FA : Full Adder)- 자리올림수를 ... (아랫자리에서의 자리올림)의 3 비트를 받아들여 3 입력의 합 S와 윗자리로 올라갈 자리올림(carry-out) Co를 각각 1 비트씩 출력한다.△ 전가산기 논리 회로③ 반감산기(Half
    리포트 | 5페이지 | 1,000원 | 등록일 2014.06.03
  • TTL gates Lab on Breadboard
    Half Adder : 이론과 일치한다.이론값실험값SW1SW2SC회로도RED0000S,C모두그대로(꺼져있음)0110S만켜짐1010S만켜짐1101C만켜짐Inlab 4. ... Half AdderSW1 On, SW2 On : 두 개 모두 불이 켜지지 않음.SW1 On, SW2 Off : S엔 불이 켜지고 C엔 불이 켜지지 않음.SW1 Off, SW2 On ... Full Adder : 이론과 일치한다.이론값실험값123SC회로도RED00000S,C모두그대로(꺼져있음)00110S만켜짐01010S만켜짐01101C만켜짐10010S만켜짐10101C만켜짐11001C만켜짐11111S
    리포트 | 26페이지 | 3,000원 | 등록일 2016.04.06 | 수정일 2017.03.08
  • [예비]실험3. 가산기 & 감산기
    반가산기(Half Adder)- XOR gate는 두 개의 입력이 다를 때 논리 값 1을 출력하고 두 개의 입력이 같으면 0을 출력하는 특성을 가지고 있다. ... 반감산기(Half Subtracter)- XOR gate를 통하여 두 개의 차를 나타내는 D를 출력한다. ... Logic gate를 이용해서 가산기(adder)와 감산기(subtracter)를 구성한다.2. 디지털 시스템의 기본 요소인 가산기와 감산기의 기본 구조 및 동작원리를 이해한다.?
    리포트 | 4페이지 | 1,500원 | 등록일 2013.09.28
  • 디지털시스템 verilog 실험 결과보고서의 모든 것,BCDto7Segment, FlipFlop, Counter, RAM, 유한상태머신회로, Dotmatrix, Stopwatch etc
    HALF ADDER실험목표HALF ADDER코드를 작성하여 HALF ADDER의 기능을 확인하고 Altera QuartusⅡ프로그램을 확인해본다.작성코드및코드설명[ 작성코드 & 코드설명 ... [HALF ADDER]HALF ADDER는 두 개의 기본 게이트로 구성된 디지털회로이다. 입력에 따라 출력은 C(carry값)과 S(sum값)으로 결정된다. ... 두 개의 HALF ADDER의 Carrry값에 OR gate를 추가하여 FULL ADDER를 만들 수 있다.
    리포트 | 32페이지 | 3,000원 | 등록일 2012.11.27
  • 실험 2. 가산기 & 감산기(예비)
    실험 1) Half Adder(반가산기)?실험 2) Full Adder(전가산기)?실험 3) Half Subtracter(반감산기)? ... 실험 1) Half Adder(반가산기)회로만 잘 구성 된다면 진리표대로 구현 될 것이다. 점등이 되면 1을 나타내고 점등이 되지 않으면 0을 나타낸다. ... 실험 목적-Logic gate를 이용해서 가산기(adder)와 감산기 (substracter)를 구성한다.
    리포트 | 2페이지 | 1,000원 | 등록일 2012.09.14 | 수정일 2014.01.01
  • 논리회로실험 실험3 Adder & Subtracter 결과보고서
    4주차 결과보고서실험 3 Adder & Subtracter▶실험과정 및 결과◈ 실험 1 : 반가산기(Half adder)구성 사진 :- 결손도를 참고하여 회로를 구성하였다.- led를 ... 빌림수가 내려와서 빌림수가 1으로 차가 0으로 출력된다.- 입력이 1, 1, 1 일 때는 계산이 1이므로 차가 1, 빌림수가 1로 출력되었다.▶ 실험고찰◈ 실험 1 : 반가산기(Half ... 출력되었고 0, 1, 1일 때는 합이 0, 캐리가 1으로 이론과 일치하게 출력되었다.- 모든 입력이 1일 때는 합이 3이므로 캐리가 1이고 합도 1로 출력되었다.◈ 실험 3 : 반감산기(Half
    리포트 | 9페이지 | 1,000원 | 등록일 2013.09.08
  • 디지털회로 [ 반가산기, 전가산기, 반감산기, 전감산기 _ 사전 ]
    Half Adder? 한자리수 A와 B를 합할 때 발생되는 결과는 A와 B의 합과 다음 자리의 자리 올림수(Carry)가 된다(예를 들면 아래와 같다). ... Half Subtracter? 반감산기는 두 개의 입력 신호를 받아 뺀 후 차(D)와 상위비트의 빌림수(B)를 발생하는 회로이다. ... Full Adder? 한자리수 A와 B, 그리고 자리올림수를 합할 때에 사용되는 것으로 결과는 A와 B의 합(S)과 자리올림수(Carry)가 된다.
    리포트 | 6페이지 | 1,000원 | 등록일 2008.04.08
  • 4장. 디지털 연산 회로 - 결과레포트
    회로구성도회로 종류회로 사진반가산기반감산기NAND Gate를 이용한 반가산기전가산기회로 종류회로 사진전감산기Half adder and subtracterFull adder and subtracter실험회로 ... 회로input오실로스코프DBCXYHighLowLow320mv400mvHighLowHigh4.481v4.641vHighHighLow4.481v400mvHighHighHigh560mv400mv▶ Full adder ... 전감산기input오실로스코프DBXYZLowLowLow240mv400mvLowLowHigh4.561v4.641vLowHighLow4.481v4.561vLowHighHigh240mv4.641vinput오실로스코프DBXYZHighLowLow4.481v400mvHighLowHigh320mv400mvHighHighLow320mv480mvHighHighHigh4.081v4.241v▶ Half
    리포트 | 22페이지 | 2,000원 | 등록일 2013.10.16
  • [디지털시스템실험(Verilog)] Verilog 기본 실습 결과보고서
    ◈방법 2. half adder를 이용한 설계half adder의 코딩 소스는 다음과 같다. ... 하나는 full adder의 게이트 모두를 직접 코딩하는 방법이고, 다른 하나는 half adder를 코딩 후 half adder를 두 번 이용하여 full adder를 만드는 방법이다 ... (단, half adder에는 Cin이 존재하지 않는다.)
    리포트 | 3페이지 | 2,000원 | 등록일 2011.10.05
  • 디지털 회로 연산 예비보고서
    adder and subtracter 의 회로도▶ Full adder and subtracter 의 회로도▶ 실험회로 1▶ 실험회로 2? ... 반가산기 회로도ABAB00011011▶ 반감산기ABAB00011011▶ 전가산기ABcn-1ABcn-*************ABcn-1ABcn-1100101110111▶ 전감산기▶ Half
    리포트 | 12페이지 | 1,000원 | 등록일 2013.10.15
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
10:45 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대